Thông báo

Collapse
No announcement yet.

MoldemSim

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • MoldemSim

    Chủ đề:Sử dụng Moldemsim
    cho mình hỏi là: viết được code test và code testbench rồi, cho chạy thì không có lỗi, đã hiện 2 dấu tít ok, ,à nhấn sang library để mô phỏng lại không được, báo trong mục work là empty
    Vậy bị lỗi gì mà mục work không chứa file cần mô phỏng, mong được chỉ giáo
    thank for all

  • #2
    có bác nào chỉ vs, mò hướng dẫn mà chưa dc

    Comment

    Về tác giả

    Collapse

    virtue Tìm hiểu thêm về virtue

    Bài viết mới nhất

    Collapse

    Đang tải...
    X