Thông báo

Collapse
No announcement yet.

Help me

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Help me

    Chào các bác
    em có bài tập lớn môn Vxl,đại khái là khi có điện thoại sau 3 hồi chuông ko có tín hiệu thì sẽ có lời hướng dãn nhu kiểu:ấn số 1 ban chọn... tương ứng với mỗi phím ấn thì hệ thống sẽ đáp ứng 1 sự kiện...
    em chưa biết rõ về cái nay lắm ,về Vxl thì em cũng biết sơ sơ các bác giúp em với
    Thank các bác trước nha

  • #2
    Nguyên văn bởi flashman
    Chào các bác
    em có bài tập lớn môn Vxl,đại khái là khi có điện thoại sau 3 hồi chuông ko có tín hiệu thì sẽ có lời hướng dãn nhu kiểu:ấn số 1 ban chọn... tương ứng với mỗi phím ấn thì hệ thống sẽ đáp ứng 1 sự kiện...
    em chưa biết rõ về cái nay lắm ,về Vxl thì em cũng biết sơ sơ các bác giúp em với
    Thank các bác trước nha
    +Trước hết bạn tìm đọc một số tài liệu trên dien đan + một số schematic có ở trên mạng
    +Các mạch ghép nối với đường line,tạo tín hiệu nhấc máy,đặt máy....
    +Tìm hiểu về các tín hiệu trên đường line như: chuông,DTMF...
    +Tìm hiểu về MT8880/8870
    +Tìm hiểu một con phát âm thanh(chưa làm nên ko nhớ con nào).
    +Và làm nhé,chúc thành công.
    -------------------

    Comment


    • #3
      Cảm ơn bác nhớ nhưng tài liệu trên diễn đàn là ở chỗ nào đấy bác,bác nói rõ được không
      diễn đàn này rộng lắm

      Comment


      • #4
        để xây dựng bằng vxl thì khó lắm bạn ơi việc của bạn gần giống như một tổng đài rồi. Nếu bạn muốn tìm hiểu về cái này thì tài liệu cũng nhiều nhưng có một cách đơn giản hơn cũng thực hiện được chức năng tương tự mà giá không cao sử dụng kèm computer khá linh hoạt và có thể tác động dễ dàng bằng một ngôn ngữ lập trình bậc cao đó là dùng card dialogicnếu công việc của bạn chủ yếu là vxl thì bạn nghĩ hướng khác thôi còn nêu bạn thích viễn thông thì đây là hướng rất hay đấy

        Comment


        • #5
          Dialogic quá đắt với SV. 700USD cho card 4 CO.
          Bạn có thể dùng PIC hay 51 ( cho 1 kênh thôi) + CODEC + FLASH ngoài tối thiểu 1MB + MT8870 và Mạch giữ đường dây và thu chuông là OK. Nhanh hơn có thể dùng FPGA để phát tiếng nói. Cái này sao bây giờ còn làm?
          Nhà sản xuất chuyên nghiệp các sản phẩm OEM cho gia dụng và công nghiệp.

          Biến tần
          Máy giặt
          Lò vi sóng
          Bếp từ.
          Tủ lạnh.
          Điều hòa

          Comment


          • #6
            Trước hết bạn tìm hiểu con ISD_2560 hoặc ISD_1420...
            Sau đó tìm hiểu mạch tạo tải giả và mạch cảm biến chuông diện thoại.
            Sau đó có gì không hiểu thì có thể hỏi lại. Tui sẽ nói tiếp.
            Nhưng bạn phải nói cụ thể về đề tài của bạn. Ví dụ sau khi nhấn phím 1 thì làm cái gì?

            Comment

            Về tác giả

            Collapse

            flashman Tìm hiểu thêm về flashman

            Bài viết mới nhất

            Collapse

            Đang tải...
            X