Thông báo

Collapse
No announcement yet.

Cần giúp đỡ về Verilog

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Cần giúp đỡ về Verilog

    Em hiện nay mới học về Verilog nhưng tìm chương trình để lập trình cũng như mô phỏng khó quá .Bên ngoài tìm hoài mà không thấy ,không biết ai có thể chỉ em down chương trình nào nhỏ gọn <100M sài thật tốt đi .Em cũng kiếm được một cái modelsim nhưng nó cũ quá rùi 5.4 .Mà em lập trình xong và compile tập tin .v luôn rùi .Nhưng hông biết làm cách nào để coi sóng nó,mô phỏng nó .Mong mọi người chỉ dùm em ^^ .Cảm ơn rất nhiều

  • #2
    mình thì cũng không hơn gì bạn, tìm mấy tháng trời cũng không ra. có nick et2 cho down xilinx 8.2, bạn có thể liên hệ để hỏi. Tuy nhiên có vấn đề quan trọng hơn là down về rồi cũng không có key, phải đi tìm key nữa.
    cách khác là vào eMule down thử xem, mình đang down v8.1, v8.2, v9.1, không biết trong đó có key hay không nữa.

    Comment


    • #3
      Bạn có thể download model PE 6.3 student edition về dùng, bản này dùng cho sv thực hành. http://www.model.com/resources/stude...n/download.asp
      Mình cũng đang sử dụng version này. Sau khi cài đặt bạn đ8ng ký lisence free, dùng trong 180 ngày. lisence này chỉ dùng được cho máy bạn cài đặt thôi, không dùng cho máy khác được, sau khi hết hạn bạn có thể đăng ký lisence khác (hình như vậy) vì mình chưa thử.
      Còn vấn đề simulation để xem dạng sóng, thông thường viết testbench để xem.
      Đây là một ví dụ đơn giản, cổng and
      Code verilog
      Code:
      module andgate(
      	input	a,
      	input	b,
      	output	y
      );
      	assign y = a & b;
      endmodule
      code testbench
      Code:
      `timescale 1ps/1ps
      
      module andgate_tp;
          reg     I1;
          reg     I2;
          wire    O;
          
          parameter STEP = 100000;
          
          andgate andgate(I1, I2, O);
          
          initial begin
                  I1 = 1'b0;
                  I2 = 1'b0;
              #STEP
                  I1 = 1'b0;
                  I2 = 1'b1;
              #STEP
                  I1 = 1'b1;
                  I2 = 1'b0;
              #STEP
                  I1 = 1'b1;
                  I2 = 1'b1;
              #STEP
                  $stop;
          end
      endmodule
      sau khi compile 2 file này, bạn simulation sẽ thấy dạng sóng ra ở cửa sổ wave của modelsim. Còn gì thắc mắc cứ liên lạc.

      Comment


      • #4
        chắc là phải học hỏi thêm enti thôi, cũng thích xilinx lắm, nhưng mà không có ai chỉ hết. Tài liệu tiếng anh dịch đến mỗi cái reg và lệnh always thì đuối sức luôn , bước đầu vô chưa biết gì hết , bạn có tài liệu về cái này không share với ( tiếng việt)

        Comment


        • #5
          Mình ko có sách tiếng Việt. Theo mình thấy, học kỹ thuật thì đừng đọc sách tiếng Việt, về ngôn ngữ, tiếng Việt có nhiều cái dịch ra ko thể hiện được bản chất của nó. Nên đọc sách tiếng anh, thật ra tiếng anh mình cũng ko giỏi, nhưng cố đọc thôi. Mình có sách tiếng Nhật thôi, nhưng sách của cty nên ko photo được. Mình cũng chỉ mới làm VHDL một thời gian (nhưng mình tìm hiểu song song cà verilog) mình cũng có câu hỏi mà chưa thấy ai trả lời cả

          Comment


          • #6
            Bác à! Hình như dùng bản này nó giới hạn 1 số tính năng không cho sử dụng hết so với bản Full. Mình đã dùng thử bản này, khi làm thử thì đến 1 đoạn nó bảo không có tính năng này do đó là bản giới thiệu.
            Bác nào có bản Full có thể share được không?
            Cám ơn rất nhiều!
            Thien thu van co: Yeu la kho!!!
            Van co thien thu: Kho van yeu!!!!!!!!!!!!!!!!!!!!!!

            Comment


            • #7
              Bạn có thể mô tả bạn cần làm gì mà nó báo như vậy không. Hiện tại mình dùng nó vẫn chưa thấy có vấn đề gì cả.
              Ở cty mình dùng bản full nhưng có hard key (paralell port) nên dù có source cài đặt nhưng ko có key cũng không dùng được.

              Comment


              • #8
                Ở cty mình dùng bản full nhưng có hard key (paralell port) nên dù có source cài đặt nhưng ko có key cũng không dùng được.
                Hiện nay e đang có board Dev1 của Altera Cycklone II, nó yêu cầu License. Em da cai dat Quartus. Tuy nhiên nó không tìm được Software Guard ID, em đọc hướng dẫn thấy nó bảo phải nối PC với board qua parallel port nhưng mà trên mạch không có sẵn cổng này, board chỉ để trống 2 Expension header (20x2). Có phải nối PC với board qua cổng parallel bằng cái expension header này không? cổng song song có 25 chân thì phải nối như thế nào?
                Cám ơn bác!!!!
                Thien thu van co: Yeu la kho!!!
                Van co thien thu: Kho van yeu!!!!!!!!!!!!!!!!!!!!!!

                Comment


                • #9
                  sách học skechup dummie

                  http://rapidshare.com/files/80614030...aychua:df.html

                  Comment


                  • #10
                    vray for sketchup (chương trình và sách học vray)

                    http://rapidshare.com/files/80639955...chup_.rar.html

                    Comment


                    • #11
                      Có phải bạn đang dùng "Cyclone II FPGA Starter Development Kit" không?
                      http://www.altera.com/products/devki...yc2-2C20N.html
                      Bạn xem thử mình tìm có đúng không.
                      Nếu đúng board này thì nó download program bằng cổng USB mà.

                      Mình nói hard key (parallel port) là cổng paralell trên máy tính. nó là khóa để chạy modelsim, giao tiếp với pc qua cổng paralell.
                      Last edited by enti; 04-01-2008, 10:15.

                      Comment


                      • #12
                        Đúng rùi đó bác!

                        Làm thế nào để lấy dc Soft Guard ID của Quartus 2 (em cài xong tìm cái Guard ID thì nó báo Not found), muốn có License nhưng mà đăng kí với Altera thì nó yêu cầu Guard ID, hix!
                        Thien thu van co: Yeu la kho!!!
                        Van co thien thu: Kho van yeu!!!!!!!!!!!!!!!!!!!!!!

                        Comment


                        • #13
                          Mình không dùng Quatus II nên không thể trả lời chính xác được. Nhưng nếu board trên co kèm dĩa CD (Quartus II web edition), ngoài ra trên web của Altera cũng cho download free mà. Mình thử download và thử request license thấy không vấn đề gì. Bạn thử download bản free xem sao. Nó tới 700MB. Do máy cty nên mình không cài thử.

                          Comment


                          • #14
                            Cám ơn bác, em đã thử được rồi!
                            Thien thu van co: Yeu la kho!!!
                            Van co thien thu: Kho van yeu!!!!!!!!!!!!!!!!!!!!!!

                            Comment


                            • #15
                              free verilog simulator:
                              Icarus Verilog (@google)
                              Waveform viewer:
                              GtkWave @ google ...

                              Comment

                              Về tác giả

                              Collapse

                              player Tìm hiểu thêm về player

                              Bài viết mới nhất

                              Collapse

                              Đang tải...
                              X