Thông báo

Collapse
No announcement yet.

Xilinx 11.1 _tools powerful_for FPGA

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • #31
    Chào các bạn
    Có bạn nào ở Sài Gòn download được bản 11.1 (và update 11.4 càng tốt), cho mình xin với.
    Hoặc nếu không các bạn có thể burn đĩa ra và bán lại cho AEs được không?
    Thân,

    Comment


    • #32
      xilinx 12.1(2010/06)

      can download from xilinx webside

      http://www.xilinx.com/

      có thể sử dụng *C*R***** của bản 11.1 ; 11.5 cũng trên diễn đàn trang 1

      Comment


      • #33
        Nguyên văn bởi hungthientu Xem bài viết
        xilinx 12.1(2010/06)

        can download from xilinx webside

        http://www.xilinx.com/

        có thể sử dụng *C*R***** của bản 11.1 ; 11.5 cũng trên diễn đàn trang 1
        thanks bác nhé ...cái dùng chắc thích lắm đây! à mà nhân tiện bác giúp em luôn cái tanner 14.11 luôn nha. cảm ơn bác trước!

        Comment


        • #34
          Nguyên văn bởi hungthientu Xem bài viết
          xilinx 12.1(2010/06)

          can download from xilinx webside

          http://www.xilinx.com/

          có thể sử dụng *C*R***** của bản 11.1 ; 11.5 cũng trên diễn đàn trang 1
          em chào Bác hungthientu em đã cài đặt xong bản 12.1 rùi đã r*a*c*k như bác nói trên diễn đàn. nhưng cái phần PlanAhead của em nó báo như hình vẽ này có phải là còn 5 ngày nữa là hết hạn k ạ? à mà cái phần này dùng để làm j vậy ạ? mong bác giúp em sớm nha
          Attached Files

          Comment


          • #35
            sao mình vào trang chủ, bản 12.1 chi thấy IsE,SDK,labtool,modelsim ma không thay co Sýtemgenerator voi EDK?. có cần phải cài 11.1 trước rồi up lên không?. có phải đăng kí gì không hay phệt luôn ***** ở trang đầu tiên?

            Comment


            • #36
              Nguyên văn bởi bcvt Xem bài viết
              sao mình vào trang chủ, bản 12.1 chi thấy IsE,SDK,labtool,modelsim ma không thay co Sýtemgenerator voi EDK?. có cần phải cài 11.1 trước rồi up lên không?. có phải đăng kí gì không hay phệt luôn ***** ở trang đầu tiên?
              không cần đâu bác ạ.em down bản 12.1 cho win 32 là về cài là dc. còn phần c*r*a*c*k thì có EDK và Xilinx PlanAhead 12.1 là có giới hạn ngày sử dụng thôi còn các cái khác k vấn đề j cả.

              bộ 12.1 của em bao gồm các phần sau : Xilinx PlanAhead 12.1,Xilinx ISE Design Suite 12.1,ChipScope Pro 12.1, System Generator( nhưng k có accelDSP thôi), EDK.

              em thấy gần đủ bộ rùi còn j nữa chi r thiếu mỗi cái đó thôi.

              Comment


              • #37
                all module 100% complete (newest) ,đã lâu rồi không dung nó

                link download:

                https://xilinx.entitlenow.com/cocoon..._M.53d.0.4.tar


                https://xilinx.entitlenow.com/cocoon..._M.53d.0.4.tar


                https://xilinx.entitlenow.com/cocoon..._M.53d.0.4.tar

                save thành file license.lic

                INCREMENT v6_emac xilinxd 2010.06 permanent uncounted 439CEED62416 \
                VENDOR_STRING=License_Type:Bought;****_Comtech,v6_ emac,ip,evaluation,_123456789_123456_123456789 \
                HOSTID=ANY ISSUER="Xilinx Inc" NOTICE="The license for this \
                core was generated for ****_Comtech." START=01-May-2010 TS_OK
                FEATURE v6_emac_v1 xilinxd 2010.06 permanent uncounted 9DA2A87EBEBC \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                INCREMENT System_Edition xilinxd 2010.06 permanent uncounted \
                B7D49B3291AD \
                VENDOR_STRING=****_Comtech,System_Edition,software ,permanent,_123456789_123456_123456789 \
                HOSTID=ANY ISSUER="Xilinx Inc" START=01-May-2010 TS_OK
                INCREMENT V6_S6_EA xilinxd 2010.06 permanent uncounted AAA90CC6A432 \
                VENDOR_STRING=****_Comtech,V6_S6_EA,software,evalu ation,_123456789_123456_123456789 \
                HOSTID=ANY ISSUER="Xilinx Inc" START=01-May-2010 TS_OK
                INCREMENT plbv46_pcie xilinxd 2010.06 permanent uncounted \
                AD628515A2C2 \
                VENDOR_STRING=License_Type:Bought;****_Comtech,plb v46_pcie,ip,evaluation,_123456789_123456_123456789 \
                HOSTID=ANY ISSUER="Xilinx Inc" NOTICE="The license for this \
                core was generated for ****_Comtech." START=01-May-2010 TS_OK
                FEATURE plb_v46_pcie_v3 xilinxd 2010.06 permanent uncounted \
                6BFA29DB2592 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                INCREMENT v6_pcie xilinxd 2010.06 permanent uncounted E8A2E8E0AF92 \
                VENDOR_STRING=License_Type:Bought;****_Comtech,v6_ pcie,ip,evaluation,_123456789_123456_123456789 \
                HOSTID=ANY ISSUER="Xilinx Inc" NOTICE="The license for this \
                core was generated for ****_Comtech." START=01-May-2010 TS_OK
                FEATURE v6_pcie_v1 xilinxd 2010.06 permanent uncounted 54408A6E4354 \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                INCREMENT System_Edition xilinxd 2010.06 permanent uncounted \
                66B4C3D9F4EB \
                VENDOR_STRING=****_Comtech,System_Edition,software ,evaluation,_123456789_123456_1 \
                HOSTID=ANY ISSUER="Xilinx Inc" START=01-May-2010 TS_OK
                INCREMENT s6_pcie xilinxd 2010.06 permanent uncounted F400DBFB1B33 \
                VENDOR_STRING=License_Type:Bought;****_Comtech,s6_ pcie,ip,evaluation,_123456789_123456_123456789 \
                HOSTID=ANY ISSUER="Xilinx Inc" NOTICE="The license for this \
                core was generated for ****_Comtech." START=01-May-2010 TS_OK
                FEATURE s6_pcie_v1 xilinxd 2010.06 permanent uncounted E1A4ABFD154A \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                PACKAGE System_Edition xilinxd 2010.06 67E700CD43F3 \
                COMPONENTS="AccelDSP ChipscopePro_SIOTK ChipscopePro ISIM \
                SysGen ISE sdk xps PlanAhead" OPTIONS=SUITE
                PACKAGE V6_S6_EA xilinxd 2010.06 677FA3A082EE COMPONENTS="XC6SLX4 \
                XC6SLX9 XC6SLX18 XC6SLX25 XC6SLX45 XC6SLX100 XC6SLX150 \
                XC6SLX25T XC6SLX45T XC6SLX100T XC6SLX150T XCVLX75T XCVLX130T \
                XCVLX195T XCVLX240T XCVLX365T XCVLX550T XCVLX760 XCVSX315T \
                XCVSX475T " OPTIONS=SUITE
                FEATURE apu_fpu_v2 xilinxd 2010.06 permanent uncounted 3E946F63E3B3 \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                FEATURE apu_fpu_virtex5_v1 xilinxd 2010.06 permanent uncounted \
                161FB7AE7D75 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_atmc_v1_00_b xilinxd 2010.06 permanent uncounted \
                8FD13F079678 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_atmc_v2_00_a xilinxd 2010.06 permanent uncounted \
                F01FBD46C35E VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_ethernet_v1_00_j xilinxd 2010.06 permanent uncounted \
                2757AD80CEFD VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_ethernet_v1_00_k xilinxd 2010.06 permanent uncounted \
                77AF860475EC VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_ethernet_v1_00_l xilinxd 2010.06 permanent uncounted \
                EF6A97BC740F VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_ethernet_v1_00_m xilinxd 2010.06 permanent uncounted \
                52087050B396 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_ethernet_v1_01_a xilinxd 2010.06 permanent uncounted \
                096AA10C8442 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_ethernet_v1 xilinxd 2010.06 permanent uncounted \
                6863C10C901F VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_ethernetlite_v1_00_a xilinxd 2010.06 permanent uncounted \
                202EE177A3BD VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_ethernetlite_v1 xilinxd 2010.06 permanent uncounted \
                B2CE36BAFCC9 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_hdlc_v1_00_b xilinxd 2010.06 permanent uncounted \
                15D2A6BE1C3B VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_hdlc_v1 xilinxd 2010.06 permanent uncounted 6BB43881FE91 \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                FEATURE opb_hdlc_v2_00_a xilinxd 2010.06 permanent uncounted \
                D9E50E0B87CD VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_hdlc_v2 xilinxd 2010.06 permanent uncounted D6DB76DA47C3 \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                FEATURE opb_iic_v1_01_a xilinxd 2010.06 permanent uncounted \
                4D26E942E562 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_iic_v1_01_b xilinxd 2010.06 permanent uncounted \
                105FFD9BE134 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_iic_v1 xilinxd 2010.06 permanent uncounted DCB7005BF864 \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                FEATURE opb_uart16550_v1_00_c xilinxd 2010.06 permanent uncounted \
                E4E796A52C52 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE opb_uart16550_v1 xilinxd 2010.06 permanent uncounted \
                D2A654B5A8F3 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE plb_atmc_v1_00_a xilinxd 2010.06 permanent uncounted \
                D4BEF75BBF23 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE plb_ethernet_v1_00_a xilinxd 2010.06 permanent uncounted \
                0A24B13B3F79 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE plb_ethernet_v1 xilinxd 2010.06 permanent uncounted \
                CC9FDC83E208 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE plb_gemac_v1_00_a xilinxd 2010.06 permanent uncounted \
                A26BACDD866D VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE plb_gemac_v1_00_b xilinxd 2010.06 permanent uncounted \
                767297489818 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE plb_gemac_v1_01_a xilinxd 2010.06 permanent uncounted \
                BAF5CE421ACD VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE plb_gemac_v2 xilinxd 2010.06 permanent uncounted 42B6284CD22C \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                FEATURE plb_temac_v1 xilinxd 2010.06 permanent uncounted 4A40F652B7E1 \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                FEATURE plb_uart16550_v1_00_b xilinxd 2010.06 permanent uncounted \
                D66FBB7C3DC9 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE plb_uart16550_v1_00_c xilinxd 2010.06 permanent uncounted \
                4D7E2FE63035 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE plbv46_pcie_v3 xilinxd 2010.06 permanent uncounted \
                0CCCDEF09DEB VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE soft_temac_wrap_v1 xilinxd 2010.06 permanent uncounted \
                E5253A17B55F VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE soft_temac_wrap_v2 xilinxd 2010.06 permanent uncounted \
                824843130413 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE xps_ethernetlite_v1 xilinxd 2010.06 permanent uncounted \
                238AD832608D VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE xps_iic_v1 xilinxd 2010.06 permanent uncounted A20D5EF83E16 \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                FEATURE xps_iic_v2 xilinxd 2010.06 permanent uncounted 4468E910EB06 \
                VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                NOTICE="The license for this core was generated for \
                ****_Comtech." TS_OK
                FEATURE xps_ll_temac_v1 xilinxd 2010.06 permanent uncounted \
                778BC5047302 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE xps_ll_temac_v2 xilinxd 2010.06 permanent uncounted \
                140E11C9B794 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK
                FEATURE xps_uart16550_v1 xilinxd 2010.06 permanent uncounted \
                1321CAB9AC37 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                ISSUER=Xilinx NOTICE="The license for this core was generated \
                for ****_Comtech." TS_OK

                Comment


                • #38
                  Nguyên văn bởi hungthientu Xem bài viết
                  all module 100% complete (newest) ,đã lâu rồi không dung nó

                  link download:

                  https://xilinx.entitlenow.com/cocoon..._M.53d.0.4.tar


                  https://xilinx.entitlenow.com/cocoon..._M.53d.0.4.tar


                  https://xilinx.entitlenow.com/cocoon..._M.53d.0.4.tar

                  save thành file license.lic

                  INCREMENT v6_emac xilinxd 2010.06 permanent uncounted 439CEED62416 \
                  VENDOR_STRING=License_Type:Bought;****_Comtech,v6_ emac,ip,evaluation,_123456789_123456_123456789 \
                  HOSTID=ANY ISSUER="Xilinx Inc" NOTICE="The license for this \
                  core was generated for ****_Comtech." START=01-May-2010 TS_OK
                  FEATURE v6_emac_v1 xilinxd 2010.06 permanent uncounted 9DA2A87EBEBC \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  INCREMENT System_Edition xilinxd 2010.06 permanent uncounted \
                  B7D49B3291AD \
                  VENDOR_STRING=****_Comtech,System_Edition,software ,permanent,_123456789_123456_123456789 \
                  HOSTID=ANY ISSUER="Xilinx Inc" START=01-May-2010 TS_OK
                  INCREMENT V6_S6_EA xilinxd 2010.06 permanent uncounted AAA90CC6A432 \
                  VENDOR_STRING=****_Comtech,V6_S6_EA,software,evalu ation,_123456789_123456_123456789 \
                  HOSTID=ANY ISSUER="Xilinx Inc" START=01-May-2010 TS_OK
                  INCREMENT plbv46_pcie xilinxd 2010.06 permanent uncounted \
                  AD628515A2C2 \
                  VENDOR_STRING=License_Type:Bought;****_Comtech,plb v46_pcie,ip,evaluation,_123456789_123456_123456789 \
                  HOSTID=ANY ISSUER="Xilinx Inc" NOTICE="The license for this \
                  core was generated for ****_Comtech." START=01-May-2010 TS_OK
                  FEATURE plb_v46_pcie_v3 xilinxd 2010.06 permanent uncounted \
                  6BFA29DB2592 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  INCREMENT v6_pcie xilinxd 2010.06 permanent uncounted E8A2E8E0AF92 \
                  VENDOR_STRING=License_Type:Bought;****_Comtech,v6_ pcie,ip,evaluation,_123456789_123456_123456789 \
                  HOSTID=ANY ISSUER="Xilinx Inc" NOTICE="The license for this \
                  core was generated for ****_Comtech." START=01-May-2010 TS_OK
                  FEATURE v6_pcie_v1 xilinxd 2010.06 permanent uncounted 54408A6E4354 \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  INCREMENT System_Edition xilinxd 2010.06 permanent uncounted \
                  66B4C3D9F4EB \
                  VENDOR_STRING=****_Comtech,System_Edition,software ,evaluation,_123456789_123456_1 \
                  HOSTID=ANY ISSUER="Xilinx Inc" START=01-May-2010 TS_OK
                  INCREMENT s6_pcie xilinxd 2010.06 permanent uncounted F400DBFB1B33 \
                  VENDOR_STRING=License_Type:Bought;****_Comtech,s6_ pcie,ip,evaluation,_123456789_123456_123456789 \
                  HOSTID=ANY ISSUER="Xilinx Inc" NOTICE="The license for this \
                  core was generated for ****_Comtech." START=01-May-2010 TS_OK
                  FEATURE s6_pcie_v1 xilinxd 2010.06 permanent uncounted E1A4ABFD154A \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  PACKAGE System_Edition xilinxd 2010.06 67E700CD43F3 \
                  COMPONENTS="AccelDSP ChipscopePro_SIOTK ChipscopePro ISIM \
                  SysGen ISE sdk xps PlanAhead" OPTIONS=SUITE
                  PACKAGE V6_S6_EA xilinxd 2010.06 677FA3A082EE COMPONENTS="XC6SLX4 \
                  XC6SLX9 XC6SLX18 XC6SLX25 XC6SLX45 XC6SLX100 XC6SLX150 \
                  XC6SLX25T XC6SLX45T XC6SLX100T XC6SLX150T XCVLX75T XCVLX130T \
                  XCVLX195T XCVLX240T XCVLX365T XCVLX550T XCVLX760 XCVSX315T \
                  XCVSX475T " OPTIONS=SUITE
                  FEATURE apu_fpu_v2 xilinxd 2010.06 permanent uncounted 3E946F63E3B3 \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  FEATURE apu_fpu_virtex5_v1 xilinxd 2010.06 permanent uncounted \
                  161FB7AE7D75 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_atmc_v1_00_b xilinxd 2010.06 permanent uncounted \
                  8FD13F079678 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_atmc_v2_00_a xilinxd 2010.06 permanent uncounted \
                  F01FBD46C35E VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_ethernet_v1_00_j xilinxd 2010.06 permanent uncounted \
                  2757AD80CEFD VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_ethernet_v1_00_k xilinxd 2010.06 permanent uncounted \
                  77AF860475EC VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_ethernet_v1_00_l xilinxd 2010.06 permanent uncounted \
                  EF6A97BC740F VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_ethernet_v1_00_m xilinxd 2010.06 permanent uncounted \
                  52087050B396 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_ethernet_v1_01_a xilinxd 2010.06 permanent uncounted \
                  096AA10C8442 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_ethernet_v1 xilinxd 2010.06 permanent uncounted \
                  6863C10C901F VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_ethernetlite_v1_00_a xilinxd 2010.06 permanent uncounted \
                  202EE177A3BD VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_ethernetlite_v1 xilinxd 2010.06 permanent uncounted \
                  B2CE36BAFCC9 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_hdlc_v1_00_b xilinxd 2010.06 permanent uncounted \
                  15D2A6BE1C3B VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_hdlc_v1 xilinxd 2010.06 permanent uncounted 6BB43881FE91 \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  FEATURE opb_hdlc_v2_00_a xilinxd 2010.06 permanent uncounted \
                  D9E50E0B87CD VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_hdlc_v2 xilinxd 2010.06 permanent uncounted D6DB76DA47C3 \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  FEATURE opb_iic_v1_01_a xilinxd 2010.06 permanent uncounted \
                  4D26E942E562 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_iic_v1_01_b xilinxd 2010.06 permanent uncounted \
                  105FFD9BE134 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_iic_v1 xilinxd 2010.06 permanent uncounted DCB7005BF864 \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  FEATURE opb_uart16550_v1_00_c xilinxd 2010.06 permanent uncounted \
                  E4E796A52C52 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE opb_uart16550_v1 xilinxd 2010.06 permanent uncounted \
                  D2A654B5A8F3 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE plb_atmc_v1_00_a xilinxd 2010.06 permanent uncounted \
                  D4BEF75BBF23 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE plb_ethernet_v1_00_a xilinxd 2010.06 permanent uncounted \
                  0A24B13B3F79 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE plb_ethernet_v1 xilinxd 2010.06 permanent uncounted \
                  CC9FDC83E208 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE plb_gemac_v1_00_a xilinxd 2010.06 permanent uncounted \
                  A26BACDD866D VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE plb_gemac_v1_00_b xilinxd 2010.06 permanent uncounted \
                  767297489818 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE plb_gemac_v1_01_a xilinxd 2010.06 permanent uncounted \
                  BAF5CE421ACD VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE plb_gemac_v2 xilinxd 2010.06 permanent uncounted 42B6284CD22C \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  FEATURE plb_temac_v1 xilinxd 2010.06 permanent uncounted 4A40F652B7E1 \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  FEATURE plb_uart16550_v1_00_b xilinxd 2010.06 permanent uncounted \
                  D66FBB7C3DC9 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE plb_uart16550_v1_00_c xilinxd 2010.06 permanent uncounted \
                  4D7E2FE63035 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE plbv46_pcie_v3 xilinxd 2010.06 permanent uncounted \
                  0CCCDEF09DEB VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE soft_temac_wrap_v1 xilinxd 2010.06 permanent uncounted \
                  E5253A17B55F VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE soft_temac_wrap_v2 xilinxd 2010.06 permanent uncounted \
                  824843130413 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE xps_ethernetlite_v1 xilinxd 2010.06 permanent uncounted \
                  238AD832608D VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE xps_iic_v1 xilinxd 2010.06 permanent uncounted A20D5EF83E16 \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  FEATURE xps_iic_v2 xilinxd 2010.06 permanent uncounted 4468E910EB06 \
                  VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx \
                  NOTICE="The license for this core was generated for \
                  ****_Comtech." TS_OK
                  FEATURE xps_ll_temac_v1 xilinxd 2010.06 permanent uncounted \
                  778BC5047302 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE xps_ll_temac_v2 xilinxd 2010.06 permanent uncounted \
                  140E11C9B794 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  FEATURE xps_uart16550_v1 xilinxd 2010.06 permanent uncounted \
                  1321CAB9AC37 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
                  ISSUER=Xilinx NOTICE="The license for this core was generated \
                  for ****_Comtech." TS_OK
                  cái này liệu có dc k đấy bác em sợ em dowwnload liệt đi. mà k c*r*a*c*k dc thì mệt lắm...bật PC cả ngày, chán rùi....

                  Comment


                  • #39
                    bác Hungthientu cho em hỏi bác đã làm chưa vậy? em copy nguyên cái đó đưa vào notepad rồi lưu thành file .lic mà có dc đâu.mong bác xem lại giúp em nha. em k hiểu nổi!

                    Comment


                    • #40
                      Nguyên văn bởi robocon2011 Xem bài viết
                      bác Hungthientu cho em hỏi bác đã làm chưa vậy? em copy nguyên cái đó đưa vào notepad rồi lưu thành file .lic mà có dc đâu.mong bác xem lại giúp em nha. em k hiểu nổi!
                      mà bạn đã download chưa vậy
                      lúc bạn nói cài rồi ,lúc lại nói download cả ngày mệt lắm là sao
                      vẫn sử dụng thuốc cũ còn cái trên là license IP cho Platform Studio and the Embedded Development Kit

                      Comment


                      • #41
                        em đã download từ trang chủ 1 bạn nhưng khi cài dung cái c*r***ack cũ thì phần PlanAhead và Embedded Development Kit vẫn báo ngày sử dụng. nên em thử xem bản của bác có cải thiện dc vấn đề k? nhưng khi down dc bản của bác hôm qua cài nó vân bắt ngày. ngây cả khi em dùng cái mã trên đó của bác.vẫn k dc k hiểu tại sao nữa. thôi đành phải lùi ngày của máy tính lại để dùng cho lâu vậy! cứ thế là 30 ngày thôi. thế là tốt rùi. thanks bác nhiều nha!!!

                        Comment


                        • #42
                          Mình đã download và sài thử bản 12.1 + matlab 2009b. Khi chạy system generator trên MatLab nặng lắm các bạn à, chạy lâu nữa. Chỉ hợp với các máy core i trở lên thôi. Tui thấy bản 10.1 + Matlab 2008a là nhẹ nhất. Bạn nào cần bản 12.1 thì mail cho tui qua địa chỉ này wallhisg@yahoo.com hén.

                          Comment


                          • #43
                            Nguyên văn bởi wallhisg Xem bài viết
                            Mình đã download và sài thử bản 12.1 + matlab 2009b. Khi chạy system generator trên MatLab nặng lắm các bạn à, chạy lâu nữa. Chỉ hợp với các máy core i trở lên thôi. Tui thấy bản 10.1 + Matlab 2008a là nhẹ nhất. Bạn nào cần bản 12.1 thì mail cho tui qua địa chỉ này wallhisg@yahoo.com hén.
                            Tôi cài xong rùi bạn ạ! Tôi chỉ cài bản matlab 2008b( vision 7.7) thôi vẫn tương thích mà. chỉ có tội là máy tính của tôi chỉ có RAM 1G. nên những bài toán lớn k chạy dc. vì điều kiện để chạy dc system generator yêu cầu RAM 2G cơ.

                            Comment


                            • #44
                              các bác ạ! em nghĩ k cần C*crak cái ISE đâu! em dùng nó âm ngày đi rùi mà vẫn dùng tốt nè!

                              Comment


                              • #45
                                Nguyên văn bởi robocon2011 Xem bài viết
                                các bác ạ! em nghĩ k cần C*crak cái ISE đâu! em dùng nó âm ngày đi rùi mà vẫn dùng tốt nè!
                                cái này nó chắc chắn sẽ hạn chết 1 số chức năng của bạn đóa~~~

                                Comment

                                Về tác giả

                                Collapse

                                hungthientu nguyen van duong Tìm hiểu thêm về hungthientu

                                Bài viết mới nhất

                                Collapse

                                Đang tải...
                                X