Thông báo

Collapse
No announcement yet.

VHDL hiển thị trên LCD kít spartan 3e

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • VHDL hiển thị trên LCD kít spartan 3e

    ai giúp mình code hiển thị bộ đếm 4 bit lên lcd trên kit spartan 3e dc ko vậy!!!!!!!!!!!!

  • #2
    Bạn download datasheet của LCD, coi phải giao tiếp với nó như thế nào
    Tiếp theo là viết state-machine dùng để cho ra waveform đúng với giao tiếp mà LCD có thể hiểu được.

    Comment


    • #3
      Nguyên văn bởi heojuken Xem bài viết
      ai giúp mình code hiển thị bộ đếm 4 bit lên lcd trên kit spartan 3e dc ko vậy!!!!!!!!!!!!
      Cái tôi gửi cho bạn là 4bit rồi mà. Trên board Spartan-3e thì lcd họ thiết kế chỉ cho chạy 4bit thôi.

      Comment


      • #4
        về kit thì cũng có nhiều nhà phân phối đó anh, nhưng Ngân Giang là rẻ nhất, ai muốn thì em có thể chi cho !

        Comment

        Về tác giả

        Collapse

        heojuken Tìm hiểu thêm về heojuken

        Bài viết mới nhất

        Collapse

        Đang tải...
        X