Thông báo

Collapse
No announcement yet.

Thiết kế bộ điều chế xung PWM bằng ngôn ngữ VHDL

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Thiết kế bộ điều chế xung PWM bằng ngôn ngữ VHDL

    Em chào các anh, chị! Hiện em đang tìm hiểu về Bộ điều chế xung PWM. Qua suy nghĩ và tìm hiểu em nhận thấy sơ đồ khối của nó gồm có 1 khối chia tần, 2 khối bộ đếm. Nhưng chưa biết kết nối chúng ra sao. Anh chị chỉ giúp em hoàn thành sơ đồ khối của nó với. Em cảm ơn!

  • #2
    không biết có phải ý bạn như thế này không?
    Click image for larger version

Name:	pwm.png
Views:	1
Size:	14.4 KB
ID:	1394667
    trong đó:
    - DCM: khối chia tần;
    - Counter 1: bộ đếm chu kỳ;
    - Counter 2: bộ đếm độ rộng xung

    Comment

    Về tác giả

    Collapse

    thangtv_mta Tìm hiểu thêm về thangtv_mta

    Bài viết mới nhất

    Collapse

    Đang tải...
    X