Thông báo

Collapse
No announcement yet.

SystemVerilog và SystemC

Collapse
This is a sticky topic.
X
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • #16
    Ý Jeff muốn hỏi về cấu tạo phần cứng của CPU hay chỉ là modeling cho kiểm duyệt (simulation)?

    Thường thì mấy công ty mua CPU model từ EDA tool rồi liên kết với những bộ phận sáng chế của họ để xác nghiệm. Trước khi đi qua layout, những cái CPU model sẽ được thay thế bởi gate level netlist. Những cái CPU model này rất mắc tiền vì máy hãng EDA biết là khách hàng của họ muốn dùng dạng thiết kế này để chuẩn đoán phần mềm và phần cứng cùng một lượt trước khi chuyển sáng chế qua fabrication (ASIC flow). Ở bên FPGA thì không cần thiết lắm vì có thể thay đổi dễ dàng và ít tốn kém hơn là ở bên ASIC. Đôi khi cũng cần cách thiết kế (flow) này nếu design quá phức tạp. Tra cứu (debug) ở simulation vẫn dễ dàng hơn nhiều là ở trong lab.
    Last edited by tonyvandinh; 13-03-2010, 20:18. Lý do: Thêm chi tiết
    Chúc một ngày vui vẻ
    Tony
    email : dientu_vip@yahoo.com

    Comment


    • #17
      Ý em chỉ là model để simulation/verification.

      Comment


      • #18
        Nguyên văn bởi jefflieu Xem bài viết
        Ý em chỉ là model để simulation/verification.
        Làm CPU model cũng không khó nhưng mất rất nhiều thời gian. Lõi của CPU không cần phải chính xác về chu kỳ nên có thể dùng C/C++ để diễn tả. Sau đó thì dùng systemC wrapper để có liên kết với khối RTL hoặc khối chu kỳ chính xác khác

        Hy vọng là đã trà lời được câu hỏi của Jeff :-)

        khối RTL cũng cần phải có systemC wrapper trước khi cấu kết với những systemC module khác
        Last edited by tonyvandinh; 13-03-2010, 20:49. Lý do: Thêm chi tiết
        Chúc một ngày vui vẻ
        Tony
        email : dientu_vip@yahoo.com

        Comment


        • #19
          Như vậy khi mô phỏng (simulation) bằng SystemC, phần mềm mô phỏng (simulator) sẽ phải đọc hết các model có ruột/lõi bằng RTL cho đến C++? Miễn là các interface tương thích thông qua các SystemC wrapper?
          Em hiểu sơ sơ rồi.
          Như vậy có vẻ việc system modeling (mô phỏng hệ thống) này làm bằng SystemC có lợi hơn so với SystemVerilog?

          Comment


          • #20
            - Nếu như ở Việt Nam, thì đi theo hướng SystemC (mô phỏng hệ thống) hay hướng SystemV (system verification) có lợi hơn?
            - Anh đánh giá HLS như thế nào? Các phần mềm dịch thẳng từ SystemC/SystemV --> netlist có hiệu quả bằng HDL không?

            Comment


            • #21
              Nguyên văn bởi jefflieu Xem bài viết
              Như vậy khi mô phỏng (simulation) bằng SystemC, phần mềm mô phỏng (simulator) sẽ phải đọc hết các model có ruột/lõi bằng RTL cho đến C++? Miễn là các interface tương thích thông qua các SystemC wrapper?
              Em hiểu sơ sơ rồi.
              Như vậy có vẻ việc system modeling (mô phỏng hệ thống) này làm bằng SystemC có lợi hơn so với SystemVerilog?
              ừ, lợi điểm quan trọng nhất là khi chạy simulation, phần untime ở C/C++ chạy rất là lẹ trong khi systemV vẫn còn ở dạng event base (chuyển động cơ bản?). Có thế nói là gấp ít nhất 1000 lần
              Chúc một ngày vui vẻ
              Tony
              email : dientu_vip@yahoo.com

              Comment


              • #22
                Nguyên văn bởi jefflieu Xem bài viết
                - Nếu như ở Việt Nam, thì đi theo hướng SystemC (mô phỏng hệ thống) hay hướng SystemV (system verification) có lợi hơn?
                - Anh đánh giá HLS như thế nào? Các phần mềm dịch thẳng từ SystemC/SystemV --> netlist có hiệu quả bằng HDL không?
                SystemC model hơi khó viết cho những người làm về RTL nhưng 2 ngôn ngữ đều dựa trên OOP (object oriented programming). Về phương diện verification, chuyển dịch giữa 2 ngôn ngữ này thì không khó. Cái khó là ở kỹ thuật (methodology). Nếu Jeff muốn học hỏi thêm thì nên quan sát về AVM (Advance Verification Methodology)

                Còn về HLS thì Jeff cũng biết là tôi đang hoạt động trên lãnh vực này cho nên tôi sẽ nói tốt về nó thôi. Thực sự các nước tân tiến còn đang dọ dẫm về vấn đề này. Kỹ thuật đã có trên 20 năm nay. Sở dĩ nó chưa phát triển mạnh trước đây là vị công cụ để tổng hợp còn sơ khai quá. Hiện giờ thì nó đã trưởng thành và đã được những công ty hàng đầu chấp nhận và đang trên đà chuyển hướng. Sự phát triển về lãnh vực này có một trở ngại lớn nhất là học hỏi ban đầu. Khác biệt với lần chuyển từ họa đồ tới RTL, C/C++ ở dạng không có thời gian cho nên rất khó cho dân làm phần cứng mường tượng được kết quả khi triển khai chương trình. TôI cũng vấp phải vấn đề này Trong năm đầu tiên. Những người mà chưa bao giờ làm về RTL thì lại học về HLS dễ hơn nhưng lại không biết nhưng cái mẹo của phần cứng để có thể tạo ra kết quả tốt hơn.

                Về vấn đề giữa RTL và HLS, cái nào tốt hơn thì có thể chia ra 2 trường hợp:

                1) Sáng chế đơn giản - Làm bằng RTL có thể tạo phần cứng nhỏ hơn nhưng về vấn đề thử nghiệm (test) thì HLS có lợi điểm hơn nhiều
                2) Sáng chế phức tạp - HLS vượt xa RTL về mọi khía cạnh

                so sánh này cũng tương tự như so sánh giữa C và assembly ngôn ngữ

                TôI nghĩ VN nên chuyển hướng qua HLS càng sớm càng tốt để Thu ngắn khoảng cách biệt về kỹ thuật với những xứ láng giềng. Bằng cách nào thì tôI không thể biết được. Tôi chỉ giúp được Trong giới hạn của tôi thôi
                Chúc một ngày vui vẻ
                Tony
                email : dientu_vip@yahoo.com

                Comment


                • #23
                  Nguyên văn bởi tonyvandinh Xem bài viết
                  ừ, lợi điểm quan trọng nhất là khi chạy simulation, phần untime ở C/C++ chạy rất là lẹ trong khi systemV vẫn còn ở dạng event base (chuyển động cơ bản?). Có thế nói là gấp ít nhất 1000 lần
                  Em nghĩ event-based nên được dịch là "dựa trên các sự kiện".

                  Comment


                  • #24
                    Tôi có tài liệu OVM 2.0.2 (Open Verification Methodology) bằng tiếng Anh. Nếu các bạn thích, tôi có thể upload lên diễn đàn.
                    Chúc một ngày vui vẻ
                    Tony
                    email : dientu_vip@yahoo.com

                    Comment


                    • #25
                      Nguyên văn bởi tonyvandinh Xem bài viết
                      Tôi có tài liệu OVM 2.0.2 (Open Verification Methodology) bằng tiếng Anh. Nếu các bạn thích, tôi có thể upload lên diễn đàn.
                      Dạ, anh cứ up ... thanks anh.

                      Comment


                      • #26
                        Nguyên văn bởi jefflieu Xem bài viết
                        Dạ, anh cứ up ... thanks anh.
                        Tôi không biết vì sao không up được nên diễn đàn này cho nên tôi up lên megaupload. Các bạn theo cái link ở dưới để lấy xuống nhé

                        http://www.megaupload.com/?d=N279HY4Z
                        Chúc một ngày vui vẻ
                        Tony
                        email : dientu_vip@yahoo.com

                        Comment


                        • #27
                          Theo anh tony, liệu Việtnnam có thể làm theo hướng verification (functional, fpga) cho asic design giống như làm software testing được không?

                          Comment


                          • #28
                            em có link này có nhiều video về verification của mentor khá hay, chia sẻ với các bác: http://verification-academy.mentor.com/

                            Comment


                            • #29
                              Nguyên văn bởi ToanXT Xem bài viết
                              Theo anh tony, liệu Việtnnam có thể làm theo hướng verification (functional, fpga) cho asic design giống như làm software testing được không?
                              Hiện giờ vấn đề tiếp thu phương pháp xác minh vẫn còn rất nan giải. Nhiều phương pháp đã được đưa ra để đơn giản vấn đề nhưng sức tiếp thu còn rất là chậm. Muốn thấu hiểu về phương pháp xác minh, người theo học phải có khả năng cấu trúc phần mềm và còn thông hiểu những vấp ngã của phần cứng.

                              Tôi không rành về trình độ của VN trên lãnh vực này nên không thể suy đoán khả năng của VN. Theo kinh nghiệm của tôi thì muốn thử nghiệm một cái gì đó, trước hết phải biết cách làm về cái đó trước. Những công ty EDA thường quảng cáo là dễ làm chỉ cốt ý để bán hàng mà thôi vì muốn làm về xác minh thì phải dùng công cu. của họ. Càng khó khăn chừng nào thì càng có lợi cho họ để bán công cụ lẫn hướng dẫn (consulting).

                              Tony
                              Chúc một ngày vui vẻ
                              Tony
                              email : dientu_vip@yahoo.com

                              Comment


                              • #30
                                Hi anh Tony, em thay trên thread ben PSOC anh có nói có thể dùng Visual C compiler và link vào thư viện systemC của SystemC.org để compile.
                                Anh có thể nói rõ hơn quá trình set-up không ạh?

                                Comment

                                Về tác giả

                                Collapse

                                jefflieu Email minh trực tiếp nếu bạn cần download tài liệu gấp Tìm hiểu thêm về jefflieu

                                Bài viết mới nhất

                                Collapse

                                Đang tải...
                                X