Thông báo

Collapse
No announcement yet.

Cần giúp làm 1 bài ví dụ cơ bản dùng VHDL?

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Cần giúp làm 1 bài ví dụ cơ bản dùng VHDL?

    Em có 1 bài tập này mà nghĩ mãi chưa ra , xin các bác chỉ bảo dùm :
    Dùng 1 con FPGA Spartan-3 của Xilinx để hiển thị số đếm tăng trên Led 7 đoạn từ 00 đến 99 ( tăng lên sau 1s) .
    Bác nào có thể giải trình tự ví dụ mẫu này từng bước cụ thể : lời giải thích đi kèm code VHDL và mô phỏng trên ModelSim cho em và những ai mới học thì tốt quá . Em xin cảm ơn .

  • #2
    Bạn chờ 1 tuần nữa. Có cả KIT SPARTAN 3 và cái ví dụ này.
    Nhà sản xuất chuyên nghiệp các sản phẩm OEM cho gia dụng và công nghiệp.

    Biến tần
    Máy giặt
    Lò vi sóng
    Bếp từ.
    Tủ lạnh.
    Điều hòa

    Comment


    • #3
      vidu

      ban thu thiet ke mot bo dem chang han thi se thay ket qua ngay.

      Comment


      • #4
        ---Day la VD trong sach toi chep gium ; dung Max+plus II
        ---Toi nghi chac cung giong cai ban can; chi can thuat toan thoi ma`
        Library ieee;
        use ieee.std_logic_1164.all;
        ------------------------------------
        ENTITY Counter_SSD IS
        PORT(clk,rst: IN std_logic;
        digit1, digit2: OUT std_logic_vector(6 downto 0));
        END Counter_SSD;
        -------------------------------------------
        Architecture Counter OF Counter_SSD IS
        BEGIN
        Process(clk,rst)
        Variable temp1: Integer Range 0 to 10;
        Variable temp2: Integer Range 0 to 10;
        Begin
        IF (rst='1') THEN
        temp1 := 0;
        temp2 := 0;
        ELSIF (clk'EVENT AND clk = '1') THEN
        temp1 := temp1 + 1;
        IF temp1 = 10 then
        temp1 := 0;
        temp2:= temp2 +1;
        If temp2 = 10 then temp2 := 0; end if;
        end if;
        END IF;
        --------------SSD--------------------------------
        Case temp1 IS
        WHEN 0 => digit1 <= "1111110";
        WHEN 1 => digit1 <= "0110000";
        WHEN Others => NULL;
        END case;
        Case temp2 IS
        WHEN 0 => digit2 <= "1111110";
        WHEN 1 => digit2 <= "0110000";
        WHEN Others => NULL;
        END case;
        ENd process;
        END Counter;
        |

        Comment


        • #5
          Chú LTS khóa bao nhiêu đấy? cần thì đến chỗ anh đi chút xíu là xong. giải thích bằng văn bản lâu lắm 0988779223

          Comment


          • #6
            May anh noi chi cho em cai duong link dowload Max+plus II ban min phi ay cam on cac su huynh truoc nha.

            Comment


            • #7
              mấy bác pro ơi help me! em đang làm bài tập thiết kế, mô phỏng mạch điều chế FSK bằng ngôn ngữ VHDL! em mới học VHDL nên còn bở ngỡ lắm, bác nào đã từng làm qua rồi hay có tài liệu gì cho em xin di! thanks các pác trước nha

              Comment


              • #8
                Code này chỉ hiển thị được 2 trạng thái của led thôi ak/?các bác pro có code nào hiển thị led 7 thanh dùng vhdl ko?

                Comment

                Về tác giả

                Collapse

                LTS Tìm hiểu thêm về LTS

                Bài viết mới nhất

                Collapse

                Đang tải...
                X