Thông báo

Collapse
No announcement yet.

xin chi giao NIOS II

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • xin chi giao NIOS II

    để dùng thằng này thì ta bắt đầu từ đâu hả các bác.
    em chỉ mới bít dùng Quartus thui, chuyển qua cái này để xài mấy IP trên DE1 mà chưa biết bắt đầu từ đâu, mong bác nào đi trước chỉ giáo cho ạ.
    em chân thành cảm ơn...

  • #2
    không co bác nào vào chỉ giáo cho em tí à

    Comment


    • #3
      Chào bạn,

      Theo mình, trước hết bạn nên tìm hiểu lý thuyết tổng quát về NIOS II trên board DE trước.
      Sau đó làm các bài Lab để học hỏi kinh nghiệm.
      Cuối cùng, tự thiết kế một hệ thống để làm một yêu cầu nào đó chẳng hạn.

      Comment


      • #4
        Nguyên văn bởi vdtin55 Xem bài viết
        Chào bạn,

        Theo mình, trước hết bạn nên tìm hiểu lý thuyết tổng quát về NIOS II trên board DE trước.
        Sau đó làm các bài Lab để học hỏi kinh nghiệm.
        Cuối cùng, tự thiết kế một hệ thống để làm một yêu cầu nào đó chẳng hạn.

        mình biết thế nhưng ko bít phải bắt đầu từ đâu(lấy tài liệu ở đâu), bạn có thể chỉ giúp ko, thank.

        Comment


        • #5
          Tài liệu tốt nhất la đọc các tài liệu và hướng dẫn đi kèm bộ KIT bạn ạ.Sau đó bắt đầu đọc về các ngôn ngữ lập trình phần cứng và làm các bài thực hành.

          Comment


          • #6
            Về NIOS:
            Trước hết bạn phải tạo 1 hệ thống có NIOS cái đã. Việc này thực hiện trên Quartus, bạn mở Quartus rồi tạo 1 hệ thống nhúng bằng SOPC. SOPC sẽ tạo ra cho bạn 1 khối, khối này là hệ thống nhúng của bạn. Trong quartus, bạn tạo thêm 1 thiết kế ở phía trên để liên kết khối này ra các chân của FPGA.

            SOPC ==> Khối NIOSII
            Quartus: Toplevel chứa Khối NIOSII, compile bình thường như khối NIOSII là 1 IP.

            Có gì trong Khối NIOSII:
            tối thiểu: NIOSII+RAM

            Input output của Khối NIOSII:
            tối thiểu: clock reset.

            Sau khi compile top-level, giả sử bạn nối các chân clock và reset đúng, bạn được file top-level.sof. bạn configure FPGA của bạn với file sof, bạn sẽ được hệ thống có NIOS. Nhưng NIOS chạy được cần có code.

            Bạn mở NIOSII IDE lên để viết code cho NIOSII. Làm tới đó đi, rồi Jeff nói tiếp.

            Comment


            • #7
              Nguyên văn bởi jefflieu Xem bài viết
              Về NIOS:
              Trước hết bạn phải tạo 1 hệ thống có NIOS cái đã. Việc này thực hiện trên Quartus, bạn mở Quartus rồi tạo 1 hệ thống nhúng bằng SOPC. SOPC sẽ tạo ra cho bạn 1 khối, khối này là hệ thống nhúng của bạn. Trong quartus, bạn tạo thêm 1 thiết kế ở phía trên để liên kết khối này ra các chân của FPGA.

              SOPC ==> Khối NIOSII
              Quartus: Toplevel chứa Khối NIOSII, compile bình thường như khối NIOSII là 1 IP.

              Có gì trong Khối NIOSII:
              tối thiểu: NIOSII+RAM

              Input output của Khối NIOSII:
              tối thiểu: clock reset.

              Sau khi compile top-level, giả sử bạn nối các chân clock và reset đúng, bạn được file top-level.sof. bạn configure FPGA của bạn với file sof, bạn sẽ được hệ thống có NIOS. Nhưng NIOS chạy được cần có code.

              Bạn mở NIOSII IDE lên để viết code cho NIOSII. Làm tới đó đi, rồi Jeff nói tiếp.
              thank mod nhùi, em sẽ làm có gì lại nhờ mod hướng dẫn tiếp.

              Comment

              Về tác giả

              Collapse

              mcm_cmcm Tìm hiểu thêm về mcm_cmcm

              Bài viết mới nhất

              Collapse

              Đang tải...
              X