Thông báo

Collapse
No announcement yet.

16-point FFT

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • 16-point FFT

    xin chào anh, chị,
    em là thành viên mới của diễn đàn này, em là sinh viên kinh nghiệm còn kém mong anh chi giúp đỡ,
    em muốn làm FFT sử dụng verilog, mong anh chi cho em tài liệu để em nghiên cứu,
    có thể chỉ dẫn cho em con đường nghiên cứu.

    thanks in advance.

  • #2
    Hehe... good ... bạn vào đây
    http://www.dientuvietnam.net/forums/...t-...-FFT-IFFT

    Comment


    • #3
      Có gì thắc mắc, bạn post ở đó hoạc ở thread này đều được.

      Comment

      Về tác giả

      Collapse

      vla_electro Tìm hiểu thêm về vla_electro

      Bài viết mới nhất

      Collapse

      Đang tải...
      X