Thông báo

Collapse
No announcement yet.

hepl me vhdl

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • hepl me vhdl

    chào các bạn mình có bài toán thế này nhưng không hiểu thật toán lắm mong các bạn phân tích giúp.bài này đề là thanh ghi dịch n bit (D-FF).
    entity ghidich is
    generic (n: integer :=4);
    port(
    clk : in STD_LOGIC;
    rst : in STD_LOGIC;
    d : in STD_LOGIC;
    q : out STD_LOGIC_VECTOR(n-1 DOWNTO 0)-- lệnh này mình ko hiểu .
    );
    end ghidich;



    architecture ghidich of ghidich is
    begin
    process(clk,rst)
    variable internal : STD_LOGIC_VECTOR(n-1 DOWNTO 0);
    begin
    if(rst='1') then
    internal:= (others=>'0') ;
    elsif(clk'event and clk='1') then
    internal:=internal(n-2 downto 0) & d;
    end if;
    q<= internal;
    end process;

    end ghidich;

  • #2
    Bạn nên tìm hiểu về cách viết D_FF trước rồi sẽ hiểu được cái này.

    Comment


    • #3
      Nguyên văn bởi cobehapdiem Xem bài viết
      chào các bạn mình có bài toán thế này nhưng không hiểu thật toán lắm mong các bạn phân tích giúp.bài này đề là thanh ghi dịch n bit (D-FF).
      entity ghidich is
      generic (n: integer :=4);
      port(
      clk : in STD_LOGIC;
      rst : in STD_LOGIC;
      d : in STD_LOGIC;
      q : out STD_LOGIC_VECTOR(n-1 DOWNTO 0)-- lệnh này mình ko hiểu . ==> khai báo vector n bit ở ngõ ra
      );
      end ghidich;



      architecture ghidich of ghidich is
      begin
      process(clk,rst)
      variable internal : STD_LOGIC_VECTOR(n-1 DOWNTO 0);
      begin
      if(rst='1') then
      internal:= (others=>'0') ; // Reset
      elsif(clk'event and clk='1') then
      internal:=internal(n-2 downto 0) & d; // Dịch giá trị thanh ghi
      end if;
      q<= internal; // Gán ra output
      end process;

      end ghidich;
      Nội dung của code giống mình command. nên tham khảo về D_FF trước khi tham khảo thanh ghi dịch D_FF

      Comment


      • #4
        Trước khi học code VHDL, bạn nên học vẽ/thiết kế các mạch số đơn giản bằng Flip-flop và các cổng logic.
        Bạn coi link này thử: Fundamental of digital

        Comment

        Về tác giả

        Collapse

        cobehapdiem Tìm hiểu thêm về cobehapdiem

        Bài viết mới nhất

        Collapse

        Đang tải...
        X