Thông báo

Collapse
No announcement yet.

Lỗi : Tạo project Stm32F407VG không hiểu thư viện

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Lỗi : Tạo project Stm32F407VG không hiểu thư viện

    Như trên title ạ.Mình mới mua 1 cái kít stm32f4 về học để làm đồ án nhưng mới tạo được cái project thôi đã thấy lỗi như trên rồi. Có bác nào đã từng làm qua con này thì ghé qua giúp mình với.Mình đang có hứng mà mới đầu vô tạo project đã khó khăn thế này rồi . Mình rất mong có cao nhân ghé qua giúp đỡ.Mình cảm ơn nhiều lắm
    đây là hình ảnh về lỗi của em nó
    2. STM32F4 Discovery: Tạo Project, cấu hình thư viện ngoại vi của ST (Keil C) | Page 4 | Cộng đồng cơ điện tử Việt Nam | Mechatronics
    các bạn thông cảm mình không thể úp được hình ảnh và file nén nên các bạn vào luồng trên có tên mình(bibacky) kèm với file nén led trên đó mong mọi người giúp đỡ

  • #2
    Nguyên văn bởi bibacky1992 Xem bài viết
    Như trên title ạ.Mình mới mua 1 cái kít stm32f4 về học để làm đồ án nhưng mới tạo được cái project thôi đã thấy lỗi như trên rồi. Có bác nào đã từng làm qua con này thì ghé qua giúp mình với.Mình đang có hứng mà mới đầu vô tạo project đã khó khăn thế này rồi . Mình rất mong có cao nhân ghé qua giúp đỡ.Mình cảm ơn nhiều lắm
    đây là hình ảnh về lỗi của em nó
    2. STM32F4 Discovery: Tạo Project, cấu hình thư viện ngoại vi của ST (Keil C) | Page 4 | Cộng đồng cơ điện tử Việt Nam | Mechatronics
    các bạn thông cảm mình không thể úp được hình ảnh và file nén nên các bạn vào luồng trên có tên mình(bibacky) kèm với file nén led trên đó mong mọi người giúp đỡ
    Ngay cả đến việc post lỗi, trình bày khó khăn mình gặp lên forum, cũng còn lười không muốn làm thì thử hỏi ai còn muốn giúp ?

    Cách tạo project trên Keil C trong forum này đã nói nhiều hãy tìm đọc lại.
    Từ chối trách nhiệm:
    Mọi thông tin từ ITX cung cấp với hi vọng nó có ích và không đi kèm với bất kì sự bảo đảm nào.
    Blog: http://mritx.blogspot.com

    Comment

    Về tác giả

    Collapse

    bibacky1992 Tìm hiểu thêm về bibacky1992

    Bài viết mới nhất

    Collapse

    Đang tải...
    X