Thông báo

Collapse
No announcement yet.

các bác cho em hỏi về con DAC 0808 với!

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • các bác cho em hỏi về con DAC 0808 với!

    Em đang làm bài tập lớn cần dùng em này mà chưa hiểu rõ về em cho lắm.các bác biết thì chỉ giáo cho em với.có tài liệu gì về em này thì post nên cho em tham khảo với(tiếng việt thi hay quá).Thanks các bác nhiều!!!!

  • #2
    Bạn download Datasheet của nó về rồi quan sát cách lập trình sau:

    init() { Start = 0; ALE = 0 ;OutPut_Enable = 0;}

    - Chon kenh Analog dau vao;
    - ALE = 1;
    - START = 1;
    - delay 1 hoac 2 chu ky Clock cua ADc ;// NOP();...
    - ALE = 0;
    - START = 0; // Bat dau bien doi ADC.
    - while(!EOC); // cho cho toi khi bien doi xong.
    - OutPut_Enable = 1;
    - Doc_DAta; //
    - NOP() ; // delay 1 chút
    - OutPut_Enable = 0; // Ket thuc qua trinh bien doi ADC cho 1 kenh
    - Tiếp theo muốn chọn kên nào thì chọn kênh rồi lặp lại.

    Có thể có ích cho bạn!
    Ngoài ra bạn hãy chọn giải điện áp biến đổi ADC -> Vref +, Vref - (cái này tra bảng trong datasheet.

    Comment


    • #3
      Nguyên văn bởi ngaymoi Xem bài viết
      Bạn download Datasheet của nó về rồi quan sát cách lập trình sau:

      init() { Start = 0; ALE = 0 ;OutPut_Enable = 0;}

      - Chon kenh Analog dau vao;
      - ALE = 1;
      - START = 1;
      - delay 1 hoac 2 chu ky Clock cua ADc ;// NOP();...
      - ALE = 0;
      - START = 0; // Bat dau bien doi ADC.
      - while(!EOC); // cho cho toi khi bien doi xong.
      - OutPut_Enable = 1;
      - Doc_DAta; //
      - NOP() ; // delay 1 chút
      - OutPut_Enable = 0; // Ket thuc qua trinh bien doi ADC cho 1 kenh
      - Tiếp theo muốn chọn kên nào thì chọn kênh rồi lặp lại.

      Có thể có ích cho bạn!
      Ngoài ra bạn hãy chọn giải điện áp biến đổi ADC -> Vref +, Vref - (cái này tra bảng trong datasheet.
      Híc, nhầm sang ADC0809 roài .

      DAC0809 thì dễ mà, bạn làm đúng theo sơ đồ trong datasheet là đươc.
      ●█═██▄▄▄▄▄▄▄▄▄
      ▄▅██████▅▄▃▂
      ████████████████
      ◥⊙▲⊙▲⊙▲⊙▲⊙▲⊙▲◤

      Comment

      Về tác giả

      Collapse

      maucian8x Tìm hiểu thêm về maucian8x

      Bài viết mới nhất

      Collapse

      Đang tải...
      X