Thông báo

Collapse
No announcement yet.

2 clock lồng nhau trong 1 process

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • 2 clock lồng nhau trong 1 process

    Chào các bạn.

    Trong VHDL, có thể tồn tại 1 process cho phép dùng 2 clock không nhỉ ?

    Cụ thể là mình cần 1 process làm được việc như sau :
    - clock1 tần số 1MHz
    - clock2 tần số 10MHz.
    - Khi có 1 cạnh lên của clock1 (chậm) thì 1 biến cnt sẽ đếm (bắt đầu từ 0) số cạnh lên của clock2(nhanh).

    Mình hỏi câu này vì ban đầu mình nghĩ là ko vấn đề gì nên cứ code. Nhưng code xong thì compile bị lỗi, sửa lui sửa tới vẫn ko được. Chợt nghĩ nếu mà vhdl ko cho phép 2 clock lồng nhau thì có sửa thì có sửa bằng trời, nên lên đây hỏi kinh nghiệm các bạn

    Cám ơn các bạn.

  • #2
    Bạn vẽ ra mạch điện được thì sẽ compile được.

    Comment

    Về tác giả

    Collapse

    klong19 Tìm hiểu thêm về klong19

    Bài viết mới nhất

    Collapse

    Đang tải...
    X