Thông báo

Collapse
No announcement yet.

Hỏ về ***** for Quartus software

Collapse
This topic is closed.
X
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Hỏ về ***** for Quartus software

    Hi, chào moi người,

    Mình đang tập tành với FPGA của Altera mà dùng cái bản Quartus free mỗi lần nó Compliation lâu kinh khủng quá ( vì nó chạy lại từ đầu hết). Theo mình biết thì bản ***** thì nó chỉ compile những phần thay đổi so với thiết kế trước thôi, ko biết đúng ko?

    Tiện thể mình muốn xin ***** của soft này, sao kiếm khó quá.
    Bản mình dùng
    Quartus Prime Version 16.0.0 Build 211

    Thanks!

  • #2
    Xin cr*ck phần mềm thương mại có bản quyền là phạm pháp. Diễn đàn không thể tiếp tay hành vi phạm pháp.
    Phần mềm tự do hoặc không dùng máy tính nữa !.

    Comment

    Về tác giả

    Collapse

    newputin Tìm hiểu thêm về newputin

    Bài viết mới nhất

    Collapse

    Đang tải...
    X