Thông báo

Collapse
No announcement yet.

Help!!! PWM trong VHDL

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Help!!! PWM trong VHDL

    Tình hình là em đang thực hành phần PWM trên ngôn ngữ VHDL nhưng đag gặp phải rắc rối. Em đc biết thì PWM có điều chế độ rộng xung, điều chế vị trí xung và băm xung. Em mới chỉ làm được phần điều chế độ rộng xung. Bây giờ em muốn nâng lên điều chế độ rộng xung + vị trí xung rồi độ rộng xung + vị trí xung + băm xung thì phải làm như thía nào? Mong các bác chỉ giáo!

  • #2
    hic, PWM chỉ là so sánh 2 cái sóng rồi đóng ngắt thôi mà bạn, nếu bạn đã biết làm cho 1 cái thì mấy cái kia cũng vậy thôi. Hay là bạn chưa coi lý thuyết của mây cái kiểu kia, mà nói thật thì mình cũng chưa nghe nhiều kiểu xung như vậy.

    để người khác trả lời được thì câu hỏi phải được chuẩn bị kỹ càng, giải thích cặn kẻ từ đầu cho tới điểm mà bạn khúc mắc, bạn hỏi như vậy thì ko ai có thể "chỉ giáo" được.

    Comment


    • #3
      Ví dụ em có 1 xung có chu kỳ 1 phút trong đó 40s là ở mức cao còn 20s là ở mức thấp. Vậy thì e làm thía nào để chia chỗ 40s kia thành nhiều xung nhỏ có chu kỳ 500ms trong đó 250ms mức cao vào 250ms mức thấp?

      Comment


      • #4
        mình nghĩ như sau :

        mình gọi clock mà bạn muốn tạo là clk nhé
        bạn tạo 2 clock khác
        - clk1 [40s 20s] (bạn đã có)
        - clk2 [250ms 250ms]

        nếu clk1 = 1 thì clk = clk2
        nếu clk1 = 0 thì clk = 0

        vậy là ổn rồi, ở 40s đầu clk sẽ là [250ms 250ms], ở 20s sau clk sẽ luôn ở mức 0

        Comment

        Về tác giả

        Collapse

        nktrong Tìm hiểu thêm về nktrong

        Bài viết mới nhất

        Collapse

        Đang tải...
        X