Thông báo

Collapse
No announcement yet.

Lap trinh fpga voi kit de2-115

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Lap trinh fpga voi kit de2-115

    chào mọi người,
    mọi người có ai biết cách sử dụng kit de2-115 altera. lập trình bằng vhdl.
    có thể hướng dẫn cho em biết cách khai báo. sử dụng, và phần mềm đi kèm để mô phỏng và lâp trình ko ạ
    em mới tìm hiểu. mà chưa biết gì cả .
    rất mong dc sự giúp đỡ từ mọi người.

  • #2
    Bạn download Quartus của altera về đi, download bản modelsim free nữa.
    Rồi viết code chớp tắt con LED với tần số 1Hz.

    Comment


    • #3
      dùng xilin được không vậy bạn. thiết kế với ngôn ngữ vhdl thì phải dùng quartus à. bạn có code mẫu,cho mình xin với

      Comment


      • #4
        à, các anh chị cho em hỏi một xíu. dùng phần mềm xilin,viết bằng ngôn ngữ vhdl,trên kit d2-115 altera. được ko vậy ạ.

        Comment


        • #5
          altera phải dùng Quartus.

          Comment


          • #6
            Nguyên văn bởi kythuatdt Xem bài viết
            à, các anh chị cho em hỏi một xíu. dùng phần mềm xilin,viết bằng ngôn ngữ vhdl,trên kit d2-115 altera. được ko vậy ạ.
            không, xinlinx thì bạn chỉ dùng được cho các dòng của xilinx thôi, de2 là của altera, dùng quatus II nhá

            Comment


            • #7
              vậy các anh chị,ai làm rồi, có thể post cho em bài mẫu,về cách khai báo cũng như cáh lập trình cơ bản được ko, em gà quá

              Comment


              • #8
                Nguyên văn bởi kythuatdt Xem bài viết
                vậy các anh chị,ai làm rồi, có thể post cho em bài mẫu,về cách khai báo cũng như cáh lập trình cơ bản được ko, em gà quá
                hoặc là bạn chưa từng làm VHDL hay verilog hoặc là bạn chưa đọc tài liệu của altera về board này, chỉ cần bạn bỏ thời gian ra đọc là được thôi, còn về quartus thì lên mạng tìm cách tạo project là đc thôi, không khó khăn nếu bạn chịu khó học
                PS: nếu bạn không biết gì về vhdl hay verilog thì nên học cái này trc, mô phỏng trên quartus hoặc modelsim rồi đưa lên mạch thật thôi

                Comment

                Về tác giả

                Collapse

                kythuatdt Tìm hiểu thêm về kythuatdt

                Bài viết mới nhất

                Collapse

                Đang tải...
                X