Thông báo

Collapse
No announcement yet.

Xin mời các cao nhân tham gia diễn đàn về VHDL

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Xin mời các cao nhân tham gia diễn đàn về VHDL

    xin chào tất cả mọi người, mình mới tìm hiểu sơ về ngôn ngữ VHDL nên có nhiều vướng mắc, mong các bạn nào đã biết về nó tham gia cùng thảo luận

  • #2
    What is VHDL ???
    Có thể nói thêm thông tin về cái này được không. Cho mình Update dữ liệu với. Đây là công nghệ gì vậy

    Comment


    • #3
      Nguyên văn bởi hieutq
      What is VHDL ???
      Có thể nói thêm thông tin về cái này được không. Cho mình Update dữ liệu với. Đây là công nghệ gì vậy
      VHDL= VHSIC Hardware Description Language
      VHSIC= Very High Speed Integrated Circuit

      Cái định nghĩa trên phổ biến và có vẻ đúng, nhưng thực ra một số tài liệu của
      Xilinx mà em đọc thì nó lại bảo VHDL=VHSIC High-level Description Language

      Nôm na nó là ngôn ngữ mô tả phần cứng cho các mạch tích hợp
      Dùng nó để lập trình cho các IC số (ASIC,FPGA,CPLD,PAL,PLA...)

      Comment


      • #4
        VHDL cho phép bạn modeling nhiều mức mô tả khác nhau: từ gate level --> RTL (Register Transfer Level),... đến high description level. Mình nghĩ đoạn tài liệu mà bạn đang đọc tập trung về việc modeling ở mức mô tả cao bằng VHDL.
        Về từ ngữ chính xác của nó thì đúng là: VHDL= VHSIC Hardware Description Language

        VHDL vẫn chưa phải là ngôn ngữ thực sự phát huy hiệu quả khi bạn modeling ở mức cao nếu so với C++.

        Xem giới thiệu về VHDL bằng tiếng Việt tại đây:
        http://dientuvietnam.net/board/showthread.php?t=308


        Comment


        • #5
          Nguyên văn bởi yesme@
          VHDL cho phép bạn modeling nhiều mức mô tả khác nhau: từ gate level --> RTL (Register Transfer Level),... đến high description level. Mình nghĩ đoạn tài liệu mà bạn đang đọc tập trung về việc modeling ở mức mô tả cao bằng VHDL.
          Về từ ngữ chính xác của nó thì đúng là: VHDL= VHSIC Hardware Description Language

          VHDL vẫn chưa phải là ngôn ngữ thực sự phát huy hiệu quả khi bạn modeling ở mức cao nếu so với C++.

          Xem giới thiệu về VHDL bằng tiếng Việt tại đây:
          http://dientuvietnam.net/board/showthread.php?t=308

          chào YESME@! hình như bạn là người bên diễn đàn FOTECH phải không? mình đọc được bài của bạn viết về GAL trên diễn đàn bên đó. bạn có thể gửi cho mình phần mềm nạp GAL chạy trên windows được không? địa chỉ của mình chipmickey@yahoo.com. cảm ơn bạn trước nhé.
          hãy cố gắng dù vướn phải thất bại!!!!!!!!

          Comment


          • #6
            Mickey nhầm rồi . Yesme@ đang đi ở nước ngoài thì phải . Bác ấy là cao nhân về FPGA và Asic thì phải.
            Còn về VHDL thì vào trang của Xilinx có một số bài giảng cho người mới bắt đầu . Nói chung VHDL là ngôn ngữ mô tả phần cứng chuẩn ( Giống như Sql trong các hệ cơ sở dữ liệu ) . Nhưng khi mỗi hãng phát triển FPGA...thì trong ngôn ngữ lập trình cho chip của họ có bổ sung thêm . Ngoài ra trong phần mềm lập trình có một số thư viện có sẵn như trong ISE của Xilinx.
            Last edited by pham_v_quang3i; 13-09-2006, 18:31.

            Comment


            • #7
              tìm đọc 2 quyển sách này rất cơ bản cho người mới biết VHDL
              VHDL Primer - third editor tac gia: J.Bhasker
              -VHDL analysis and modeling of digital systems tac gia: McGraw-Hill

              Comment


              • #8
                Tìm đọc 2 tập ASIC của tác giả Tống Văn On, có trong các nhà sách. Có thể vào trang www.dee.hcmut.edu.vn/~vkchau/ld.htm để tải các bài giảng về VHDL, các bài lab trong bài giảng có thể dùng ISE 7.1i của Xilinx và ModelSim XE 5.7g để thử.
                Vì lớp này đang học nên các bài giảng và lab sẽ còn tiếp tục được cập nhật trong thời gian tới.

                Comment


                • #9
                  Bạn D_F_F ơi ! Cho mình hỏi hai cuốn sách đó ở Hà Nội có bán chưa ? Mình chỉ thấy cuốn 1 còn cuốn 2 thì chằng thấy, Khônng hiểu thấy On viết về phần nào trong cuốn 2 chứ cuốn 1 mình đọc thấy thông tin thì cũng hay, nhưng hơi khó đọc , vả lại mấy thông tin ấy lên 2 trang altera.com và xilinx.com là có hết !

                  Comment


                  • #10
                    Chứ thầy lại viết như cuốn 1 thì mua đọc chẳng hay lắm !

                    Comment


                    • #11
                      Chào các bác cao thủ ở diễn đàn này. Tui là người của dân điện tử nhưng mà kiến thức hổng được là bao nay thấy mấy con PLD sao mà hay quá trời nên cũng mày mò học thử. Ai ngờ nó cũng khó ra phết đấy chứ, khó nhất là tui cũng chưa biết gì và cũng chẳng có tài liệu để học. Tui đang dự định học chương trình Active-HDL 3.5 (hình như hơi cũ) nhưng không biết tài liệu ở đâu. Có cao nhân nào ra tay hào hiệp giúp Cám ơn

                      Comment


                      • #12
                        Những ai quan tâm về mã nguồn VHDL thì vào trang Web này:
                        http://www.opencores.org/browse.cgi/by_category

                        Comment


                        • #13
                          mã nguồn bác cần thì cứ vào phần help của phần mền nó cho cả đấy thôi. Chịu khó mà đọc VHDL cho cơ bản. Chứ sử dụng lại core của người khác nghe không hay lắm.

                          Comment


                          • #14
                            Theo toi cach tot nhat de tiep can FPGA nen su dung bo phat trien.
                            Phat trien he thong nhung tren nen tang FPGA that su la xu huong hien nay
                            Xinlinx cung cap EDK cung nhu cac loi IP, do do thiet ke he thong phan cung bay gio that su don gian va linh hoat.
                            ban co the hinh dung, cung 1 bo phat trien nhung co the tao ra nhieu ung dung khac nhau ma khong phai thiet ke lai.
                            Hien nay Hanoi cung co 1 so nha cung cap dai dien cho evanet, nhung chi thuong mai thoi, khong ho tro ky thuat duoc. Ve FPGA chugn toi cung da phat trien duoc 2 nam va ung ung vao cac san pham toc do cao. Neu ai co nhu cau ve bo phat tren FPGA( hoac CPLD gia re) , chung toi se cung cap va ca phan mem, cung nhu huong dan cach tao ra 1 ung dung nho. Ve mach chung toi tu thiet ke nen dam bao gia phai chang va tuy bien.
                            Neu co nhu cau thi lien he theo dia chi sau:
                            Cong ty IEC.,JSC: http://www.iec.com.vn
                            Tel: 04-5651240
                            HP:0988288189( gap Phuong)
                            Cam on moi nguoi da quan tam

                            Comment


                            • #15
                              Chào các bạn!
                              Mình cũng rất muốn nghiên cứu đề tài này. Thực ra FPGA hay CPLD hiện vẫn còn rất mới đối với các sinh viên Việt Nam, tuy nó đã phát triển tư rất lâu. Tài liệu về nó thi nhiều vô kể, các ban cứ vào trang Xilinx.com.
                              Song tôi nghĩ để học tập tốt được vấn đề này thì người mới học cần được hướng dẫn thì học sẽ nhanh hơn.
                              Mà có bạn nào đã làm thực tế rồi thì cho anh em một chút kinh nghiệm. Còn nếu ai muốn nắm qua một chút lý thuyết về nó thì hôm sau tôi sẽ Post lên cho.(Đây là đề tài tôi quan tâm nhất mà).

                              Comment

                              Về tác giả

                              Collapse

                              kakalat Tìm hiểu thêm về kakalat

                              Bài viết mới nhất

                              Collapse

                              Đang tải...
                              X