Thông báo

Collapse
No announcement yet.

8051 VHDL free model (đã test thành công với Quartus II)

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • 8051 VHDL free model (đã test thành công với Quartus II)

    Hỗ trợ lập trình bằng KeilC

    Link đây:
    8051

    Cùng làm và trao đổi nhé.

  • #2
    Em sẽ thử test nhưng em dùng ISE cơ.Hi

    Comment


    • #3
      IP core này có dùng cho chip xilinx dc không bạn ? hay chỉ dùng cho altera. mình mới chỉ làm trên các chíp của xilinx thôi !
      best regard !

      Comment


      • #4
        được chứ bạn.
        Chỉ cần thay đổi 1 tí (khai báo component cho RAM và ROM), sau đó khai báo lại cho phù hợp ở file mc8051_p.vhd

        -----------------------------------------------------------------------------
        -- START: Component declarations for simulation models
        -----------------------------------------------------------------------------
        component mc8051_ram
        port (clk : in std_logic;
        reset : in std_logic;
        ram_data_i : in std_logic_vector(7 downto 0);
        ram_data_o : out std_logic_vector(7 downto 0);
        ram_adr_i : in std_logic_vector(6 downto 0);
        ram_wr_i : in std_logic;
        ram_en_i : in std_logic);

        end component;

        component mc8051_ramx
        port (clk : in std_logic;
        reset : in std_logic;
        ram_data_i : in std_logic_vector(7 downto 0);
        ram_data_o : out std_logic_vector(7 downto 0);
        ram_adr_i : in std_logic_vector(15 downto 0);
        ram_wr_i : in std_logic);

        end component;

        component mc8051_rom
        port (clk : in std_logic;
        reset : in std_logic;
        rom_data_o : out std_logic_vector(7 downto 0);
        rom_adr_i : in std_logic_vector(15 downto 0));

        end component;
        -----------------------------------------------------------------------------
        -- END: Component declarations for simulation models
        -------------------------------------------------------------------

        Comment


        • #5
          uhm ! thanks bạn nhé

          Comment

          Về tác giả

          Collapse

          ekindman Tìm hiểu thêm về ekindman

          Bài viết mới nhất

          Collapse

          Đang tải...
          X