Thông báo

Collapse
No announcement yet.

Nên thiêt kế theo cách nào

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Nên thiêt kế theo cách nào

    Bạn dùng VHDL hay verilog để thiết kế
    VHDL dùng chủ yếu ở Châu Âu còn Verilog sử dụng chủ yếu ở Mỹ và Nhật
    Việt nam dùng cả hai,ở đại học BÁch khoa hà nội đều dùng VHDL còn hình như ở trong nhiều trường miền trong lại dùng Verilog thì phải
    VHDL có cấu trúc chặt chẽ ,viết code lâu nhưng lúc thực thi thì lại nhanh ,còn Verilog thì mô tả nhanh nhưng thực hiện chậm,hiện nay có ngôn ngữ mới kết hợp ưu điểm của cả 2 loại trên ,đó là systemC:vừa mô tả nhanh lại vừa thực hiện nhanh ,tuy nhiên từng chức năng riêng rẽ thì không thể bằng từng loại trên được.
    Các ngôn ngữ này đều dùng để thiết kế phần cứng tốc độ cao nhưng chúng có thể được thiết kế theo 2 cách đó là FPGA(CPLD có thể nói là đã ít dùng rồi) và Full custom IC (ASIC)
    Nhưng có vấn đề chính là khi chúng ta thiết kế bằng các tools (ISE của Xilinx,Quantus của Altera hay Lactice…)và sản phẩm FPGA (Virtex hay Stratix nhiều khi không sử dụng hết toàn bộ gây ra lãng phí nhưng nó lại có ưu điểm chính là tự chúng ta có thể mua sản phẩm và mạch nạp về để tự nạp code cho chúng.
    Cách thứ hai chính là dùng các tools của Mentor,Cadence hay sympnyo để thiết kế ,mô phỏng ,cũng là mô tả bàng ngôn ngữ mô tả phần cứng nhưng không phải là nạp vào các con chip đã được chế tạo sẵn mà chuyển nó về dạng layout của các linh kiện cơ bản như transitor ,resistor…..chủ yếu là transitor gọi là chế tạo Full custom IC nhưng chúng ta không thể tự chế tạo mà phải mang sang bên hãng như IBM hay TSMC để chế tạo chúng ,nhưng có ưu điểm rất lớn đó chính là tốc độ cao ,sử dụng đến đâu chế tạo đến đó ,nhược điểm là giá để chế tạo sản phẩm là rất cao nên phải đặt hàng nhiều thì giá mới hạ được.
    Nhưng mình nghĩ sử dụng FPGA chỉ để thử nghiệm trước khi đi vào sản xuất chính mà thôi còn các con chip trên thị trường chủ yếu vẫn là ASIC


    Đây là phương pháp custom IC

    từ schematic ban đầu chúng ta đã đưa về dang layout ,có thể đem đi sản xuất








    Last edited by hungthientu; 15-02-2010, 10:01.

  • #2
    cám ơn bạn,

    mình còn nghe nói đến SystemVerilog và gần đây hơn là "e" được giới thiệu là rất hiệu quả lại ngắn gọn, dễ hiểu và debug. Mình không làm RTL nên không quan tâm lắm nhưng có bài báo khá lâu rồi về "e" trên Cadence Blogs mọi người có thể tham khảo.

    http://www.cadence.com/Community/blo...emverilog.aspx
    http://www.cadence.com/Community/blo...emverilog.aspx

    Comment


    • #3
      Nguyên văn bởi tarzanaly Xem bài viết
      cám ơn bạn,

      mình còn nghe nói đến SystemVerilog và gần đây hơn là "e" được giới thiệu là rất hiệu quả lại ngắn gọn, dễ hiểu và debug. Mình không làm RTL nên không quan tâm lắm nhưng có bài báo khá lâu rồi về "e" trên Cadence Blogs mọi người có thể tham khảo.

      http://www.cadence.com/Community/blo...emverilog.aspx
      http://www.cadence.com/Community/blo...emverilog.aspx
      e là thiết kế độc của Verisity, được mua lại bởi Cadence. Sau khi systemV và systemC trở thành standard cho verification, những hãng đã dùng e đang tìm cách để chuyển qua systemV hoặc/và systemC. Theo tôi thì e sẽ không trở thành thông dụng như dự đoán trước đây.
      Chúc một ngày vui vẻ
      Tony
      email : dientu_vip@yahoo.com

      Comment


      • #4
        thiết kế CPU và nhìn được mạch và layout của nó ,hay thât

        vẫn thích hơn là thiết kế IC analog trên virtuoso ,có thể đếm và quan sát tới từng tranzitor










        Comment

        Về tác giả

        Collapse

        hungthientu nguyen van duong Tìm hiểu thêm về hungthientu

        Bài viết mới nhất

        Collapse

        Đang tải...
        X