Thông báo

Collapse
No announcement yet.

Cadence SOC Encounter 8.1.

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Cadence SOC Encounter 8.1.

    Gửi mọi người phần mềm này. Ai cần thì load về dùng nha, đã có ***** trong ấy rùi. Hiện tại em chưa dùng.
    http://www.mediafire.com/?fgrccjp104eq4
    Chúc thành công!

  • #2
    cái này mình sử dụng khoảng 1 năm trước ,hỗ trợ công nghệ tối đa là 45nm cùng khoảng 50 triệu cổng logic(300-400 triệu transitors gì đó )nhưng phần phân tích công suất,IR drop ,timming budget mình không chạy được nó nói là demo không thể chạy vui lòng liên hệ nhà sản xuất ,phan crosstalk ,antenna ,signal integrity không có rule file cũng không chạy được và phần signoff extraction ,rain ânlyst cũng không có nốt ,cuối cùng update lên bản 9.1 thì khác phục được vấn đề thứ nhất còn những vấn đề sau không thể khắc phục vì không kiếm được thư viện đầy đủ ,mấy cái tsmc library kia chỉ cho phần implementationlanning,placment and routing ,clock thôi là hết tất cả cái khác không có ,và muốn sử dụng đầy đủ tích hợp nên cài thêm ETS,voltagestorm ,fire&ice .... cho encounter81 nhưng cuối cùng có cài xong thì cũng không thể chạy vì vẫn không kiếm được file luật

    Comment


    • #3
      Ai cài được cái này thì chỉ giúp với. Mình tải link torrent trên www.sonsivri.com, nhưng nghĩ là cũng giống với bản bạn robocon2011 up lên. Source này có vấn đề, bên www.sonsivri.com có up file patch nhưng link die hết trơn rùi Filename trong source này có vấn đề, ko map với script setup, mình đã cố gắng sửa lắm thì chạy lên được của sổ setup nhưng lại trong bước select soft để cài thi trống trơn
      Bạn hungthientu cài được chỉ giúp với
      Thanks

      Comment


      • #4
        SOC 8.1 cũ lắm rồi bạn cài làm gì. Bây giờ cadence đổi tên sản phẩm từ SOC thành EDI (Encounter Digital Implementation) và version đã là 10.1. Mà tớ thấy mảng digital synthesis này Synopsys tốt hơn.

        Comment


        • #5
          Vậy ạ. Vậy anh có phần mềm nào bên Synopsys mà thực hiện mảng digital synthesis này không ạ.Anh có giới thiệu cho em với ạ!

          Comment


          • #6
            anh Rommel.de có thể up cadence EDI lên giúp em được hôk, tại em cũng đang học nên cũng hok cần xịn lắm . Nếu hôk được anh có thể giới thiệu tool nào tương tự cho em với

            Comment


            • #7
              Chào bạn Robocon2011,

              Hàng của Synopsys về mảng digital này quá nổi tiếng rồi mà.

              Viết code (verilog) thì bạn có thể dùng VCS. Tớ thì thích dùng Incisive của cadence hơn vì tớ hay phải chạy mix signal. Tớ thiết kế mạch trên virtuoso rồi chạy mix signal bằng incisive, và spectre. Tổng hợp mạch thì đương nhiên là Design compiler rồi, làm layout thì bạn có thể dùng IC compiler, static timing analysis thì dùng Primetime, equivalent checking thì dùng Formality, Test vector thì có thể dùng Tetramax. Mấy cái này tớ đã đưa lên rồi bạn có thể xem lại ở đây.

              http://www.dientuvietnam.net/forums/...l=1#post342439

              Tuy nhiên việc dùng công cụ gì còn tùy thuộc vào library của bạn hỗ trợ những công cụ gì nữa. Ví dụ như Design compiler cần có lib file hoặc db file; IC compiler cần có milkyway library...

              @bạn Themumy: nếu bạn không cần phần mềm mới thì cứ dùng SOC8.1 cũng được. Cadence EDI tớ có thể đưa lên cho bạn những không biết có bẻ khóa được không vì tớ không xài và cũng không thử bẻ khóa bao giờ. Bạn cài SOC8.1 có vấn đề gì thì đưa lên đây tớ sửa giúp cho.

              Comment


              • #8
                Hàng của Synopsys về mảng digital này quá nổi tiếng rồi mà.
                Điều này thì em đã biết ạ. Nhưng em mới bắt đầu thì nên bắt đầu với công cụ nào ạ? Mong anh chỉ giúp!

                Comment


                • #9
                  Mỗi công cụ có một ứng dụng khác nhau nên việc bạn muốn học công cụ nào tùy thuộc vào việc bạn muốn học ứng dụng nào. Nếu bạn muốn tìm hiểu toàn bộ quá trình thiết kế thì nên học hết. Có lẽ trước tiên bạn nên học các viết verilog code, debug, simulation trên vcs hoặc incisive, sau đó học tiếp về tổng hợp mạch bằng design compiler.

                  Comment


                  • #10
                    Nguyên văn bởi Rommel.de Xem bài viết
                    Mỗi công cụ có một ứng dụng khác nhau nên việc bạn muốn học công cụ nào tùy thuộc vào việc bạn muốn học ứng dụng nào. Nếu bạn muốn tìm hiểu toàn bộ quá trình thiết kế thì nên học hết. Có lẽ trước tiên bạn nên học các viết verilog code, debug, simulation trên vcs hoặc incisive, sau đó học tiếp về tổng hợp mạch bằng design compiler.
                    Em lại chuyên về VHDL kiểu này lại phải ngồi ngâm cứu verilog rồi. Thanks anh nhiều!

                    Comment


                    • #11
                      VHDL hay Verilog thì cũng vậy thôi bạn biết VHDL thì chuyển sang dùng Verilog cũng không có vấn đề gì. Tớ cũng biết VHDL nhưng đã lâu lắm không dùng nên quên gần hết rồi. Ở trong ngành công nghiệp có lẽ mọi người dùng Verilog nhiều hơn.

                      Comment

                      Về tác giả

                      Collapse

                      robocon2011 Tìm hiểu thêm về robocon2011

                      Bài viết mới nhất

                      Collapse

                      Đang tải...
                      X