Thông báo

Collapse
No announcement yet.

Giúp Em Tự Học VĐK

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • #31
    Nguyên văn bởi chanhmuoi Xem bài viết
    Em cũng mới chập chững tự học vi điều khiển. Sao một hồi đọc từ trang 1 đến trang 3, giờ em không biết nên học pic hay 8051. Mong các anh chị chỉ giáo.
    học 89có cơ bản rồi học con nào cũng được
    Cửa hàng LINH KIỆN ĐIỆN TỬ BIÊN HÒA
    Ngã tư Amata -đối diện Bệnh Viện Nhi Đồng Nai đi tiếp 100m
    ĐC: 547/7 tổ 4 kp1 Long bình - Biên Hòa. Tell: 099509.79.68

    Comment


    • #32
      Cám ơn anh. Em down hết tài liệu bên trên, sẽ cố gắng học.

      Comment


      • #33
        Nguyên văn bởi duong_act Xem bài viết
        Nếu mới bắt đầu , Hãy bắt đầu ngay với PIC. Nó hỗ trợ nhiều chức năng, và được ứng dụng trong thực tế nhiều hơn 8051 rất nhiều. Với ngôn ngữ C - một ngôn ngữ thông minh, mềm dẻo và trình dịch CCS dành riêng cho PIC sẽ giúp bạn dễ dàng tiếp cận và học nhanh đi vào ứng dụng thực tế.
        __
        Học phí thì cũng không đắt đâu. Làm mai mối chắc rẻ ( đang tuyển người yêu )
        Trực tuyến PM qua yahoo : Duong_Architect
        khồng biết giờ anh có thể dạy được qua yahoo không?

        Comment


        • #34
          Trước mình cũng tự học VĐK, ban đầu học 8051, bây giờ học PIC thì thấy dễ, nói cách khác thì giờ học PIC tiếp thu rất nhanh so với thời gian đầu học 8051
          See you on the dark side of the moon

          Comment


          • #35
            Các bạn thử tự học qua các video trên Youtube thử xem:

            KeilC + 8051: KeilC & Proteus & Vi xử lý 8051 - YouTube
            MikroC + PIC16F877A: MikroC & Proteus & PIC16F877A - YouTube

            Comment


            • #36
              Nguyên văn bởi dangemailbox Xem bài viết
              Các bạn thử tự học qua các video trên Youtube thử xem:

              KeilC + 8051: KeilC & Proteus & Vi xử lý 8051 - YouTube
              MikroC + PIC16F877A: MikroC & Proteus & PIC16F877A - YouTube
              cam ơn bác nhá.

              Comment

              Về tác giả

              Collapse

              vy_myangel Tìm hiểu thêm về vy_myangel

              Bài viết mới nhất

              Collapse

              Đang tải...
              X