Trên development board của bạn có các thành phần ngoại vi gì?
Thông báo
Collapse
No announcement yet.
FPGA cơ bản
Collapse
This is a sticky topic.
X
X
-
Vâng! cảm ơn anh jeff đã lập trang mới này
kit của e có gần như đủ các ngoại vi a ah
nhưng có điều e chưa biết khai thác thế nào,vì e chưa hiểu được cách điều khiển và ứng dụng của các ngoại vi đó a ah
a có thể cho e địa chỉ mail
hoặc lúc nào a online a hẹn trươc e để e trao đổi trực tiếp đươc không a|
Comment
-
Okay,
Giả sử như bạn đã hiểu qua VHDL/Verilog.
Bây giờ có một development kit.
Mình sẽ làm một mạch đơn giản. Jeff thấy board của bạn có 8 LED ... Mình sẽ tạo một mạch đếm 8 bit , đếm từ 0 tới 255. Giá trị của bộ đếm này sẽ nối thẳng vào 8 LED của bạn, 8-LED nhấp nháy theo giá trị của bộ đếm.
Trước tiên, bạn cài ISE đi đã, và kiếm các tutorial tạo project, thêm file ....
Bạn google thế nào cũng ra ...
Comment
-
Bạn tham khảo một số video dạy về FPGA trên internet, họ giảng cũng rất chi tiết. Ví dụ như loạt bài giảng này:
http://video.google.com/videoplay?do...6032722135072#
Bạn có kít rồi thì dần dần làm quen sẽ ổn thôi.
Comment
-
Nguyên văn bởi katachi Xem bài viếtMình cũng đang làm đồ án về thiết kế Z80 bằng Verilog HDL, mới có chút khái niệm về FPGA và SOPC nhưng mình rất thích lĩnh vực này. Mong các pác có bài viết nào hay thì post lên cho anh em học hỏi nha !
Thanks !
Comment
-
Vài điều cơ bản cần biết khi bắt đầu làm FPGA
http://www.dientuvietnam.net/forums/...ad.php?t=33377
Comment
-
Timescale dùng trong simulation, mỗi chương trình mô phỏng có 1 bước thời gian.
`timescale 1ns/10ps
10ps có nghĩa là, bước nhỏ nhất bạn có thể mô phỏng được là 10ps (bước thời gian = 10ps). Các tín hiệu chỉ thay đổi ở các khoảng thời gian 10ps.
1ns = mặc định 1 đơn vị thời gian là 1ns
Ví dụ : #1 a <= b;
a sẽ bằng b sau khi trễ 1ns.
Và bạn có thể chỉ định delay ở múc chính xác đển 10ps, #1.01 ... không biết nếu bạn có câu lệnh: $1.001 thì điểu gì xảy ra. Bạn google với mô phỏng thử.
Comment
-
FPGA cơ bản
Nguyên văn bởi dbglory Xem bài viếtcái process trong VHDL có tác dụng gì vậy các anh ơi, giúp em với
ví dụ nèlibrary ieee ;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
----------------------------------------------------
entity counter is
generic(n: natural :=2);
port( clock: in std_logic;
clear: in std_logic;
count: in std_logic;
Q: out std_logic_vector(n-1 downto 0)
);
end counter;
----------------------------------------------------
architecture behv of counter is signal Pre_Q: std_logic_vector(n-1 downto 0);
begin
-- behavior describe the counter
process(clock, count, clear)
begin
if clear = '1' then --cac lenh thuc hien tuan tu
Pre_Q <= Pre_Q - Pre_Q; --tu tren xuong duoi
elsif (clock='1' and clock'event) then
if count = '1' then
Pre_Q <= Pre_Q + 1;
end if;
end if;
end process;
-- cac bieu thuc ngoai process se thuc hien dong thoi.
Q <= Pre_Q;
end behv;
-----------------------------------------------------
trong 1 achitecture, có thể khai báo nhiều process, các process thì lại được thực hiện đồng thời.
Chúc vui!
Comment
-
Nguyên văn bởi dongnguyen Xem bài viếtmình có cái kit stratix II của altera chip ep2s60. mình định tìm hiểu về con này. ai đã làm về con này giúp mình với. cho mình 1 ví dụ về sử dụng phím bấn trên kít đc thì tốt quá. cảm ơn tất cả mọi người.
Chúc bạn thử tốt kit
Comment
Bài viết mới nhất
Collapse
-
Comment on Đồng hồ công tơ điện tửbởi qpdt03
-
Channel: Điện tử dành cho người mới bắt đầu
23-03-2024, 17:03 -
-
Comment on Đồng hồ công tơ điện tửbởi qpdt03
-
Channel: Điện tử dành cho người mới bắt đầu
23-03-2024, 17:03 -
-
Trả lời cho Đồng hồ công tơ điện tửbởi qpdt03Hình ảnh mạch của nó đây, mình mang đồng hồ điện tử ra đo giá trị điện trở dán ghi trên lưng các con điện trở trong mạch đều đúng, mà công tơ điện tử vẫn đo sai, không biết nó hỏng chỗ nào; đã ấn nút reset lại nhưng vẫn không đc. Nhờ các bác có kinh nghiệm giúp đỡ. Thanks!
-
Channel: Điện tử dành cho người mới bắt đầu
23-03-2024, 17:03 -
-
bởi qpdt03Mình mới mua 1 cái đồng hồ công tơ điện tử trên shopee; loại hiển thị 4 thông số V,A,W và tổng số Kwh nhưng về cắm thử đc 1 hôm thì chỉ số V báo 378v, cắm về 100v cũng báo 350v có bác nào biết cách sửa chữa nó không chỉ giúp mình nhé. Xin cảm ơn mọi người đã đọc bài.
-
Channel: Điện tử dành cho người mới bắt đầu
23-03-2024, 16:46 -
-
bởi notooth1Các bạn xem giúp mình đây là tụ phân cực hay không phân cực nhé.
...-
Channel: Hướng dẫn tìm thông tin linh kiện
20-03-2024, 18:06 -
-
bởi carl22Xin chào tất cả mọi người.
Tôi hiện đang chế tạo một máy phay CNC. Máy phay được điều khiển thông qua Raspberry Pi 3 b+ và Smoothieboard v1.1 với trình điều khiển động cơ bước ACT DM 542 và động cơ 1,8° 2A.
Trục X 1,8° 3 A chạy qua trình điều khiển động cơ bước vì bảng sinh tố chỉ...-
Channel: Cơ cấu chấp hành (Actuator)
20-03-2024, 15:48 -
-
bởi ningoleChào các bác! Em là newbie về điện tử đang muốn làm một cái đèn báo cho ổ cắm nhưng chưa rành về mạch mong các bác giúp đỡ!
Nhu cầu của e là muốn làm 1 đèn báo nguồn AC 220V:
Nguồn 220v -> Phích cắm -> nối với 1 ổ cắm....-
Channel: Điện tử dành cho người mới bắt đầu
20-03-2024, 11:09 -
-
Trả lời cho có cần thiết phải lắp mạch bms cho khối pin ?bởi bao98Tất nhiên là bạn cần nó!
BMS là một phần không thể thiếu trong hệ thống ắc quy của xe điện.
nếu bạn không cài đặt BMS, có thể xảy ra vấn đề về pin, thậm chí có thể dẫn đến tai nạn-
Channel: Điện tử dành cho người mới bắt đầu
19-03-2024, 22:51 -
-
Trả lời cho [đồ án] xung đột nguồn điện 12vbởi bao98Để tìm hiểu xem bộ điều hợp UART của bạn được kết nối với cổng USB nào trên Raspberry Pi, bạn có thể sử dụng lệnh ls /dev/ttyUSB* hoặc ls /dev/ttyACM* trong thiết bị đầu cuối. Điều này sẽ liệt kê các cổng USB có sẵn để liên lạc nối tiếp. Cáp có thể được xem ở đây. Bạn cũng có thể kết nối và...
-
Channel: Hỗ trợ học tập
19-03-2024, 22:38 -
-
Trả lời cho cho hỏi move điện là g?bởi DiennuocAQLà sự tiếp xúc giữa 2 tiếp điểm không được tốt gây ra. Ví dụ như đầu dây điện cắm vào chân attomat nhưng lâu ngày ốc siết lỏng dần ra, làm dòng điện chuyển tiếp không tốt, gây ra hệ thống điện chập chờn gọi là move ạ
-
Channel: Điện tử dành cho người mới bắt đầu
19-03-2024, 12:40 -
Comment