Thông báo

Collapse
No announcement yet.

FPGA cơ bản

Collapse
This is a sticky topic.
X
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • #16
    Trên development board của bạn có các thành phần ngoại vi gì?

    Comment


    • #17
      Vâng! cảm ơn anh jeff đã lập trang mới này
      kit của e có gần như đủ các ngoại vi a ah
      nhưng có điều e chưa biết khai thác thế nào,vì e chưa hiểu được cách điều khiển và ứng dụng của các ngoại vi đó a ah
      a có thể cho e địa chỉ mail
      hoặc lúc nào a online a hẹn trươc e để e trao đổi trực tiếp đươc không a
      |

      Comment


      • #18
        Đây là kit e đang dùng anh jeff xem dùm e cái nha!
        Click image for larger version

Name:	Xilinx UG230 Spartan-3E Starter Kit Board User Guide - Adobe Reader.bmp
Views:	1
Size:	392.2 KB
ID:	1342378
        e cũng có file hướng dẫn nhưng đọc cũng chỉ biết phần hướng dẫn đơn lẻ đó chứ áp dụng để gắn lại thành 1 thiết kế thì e chưa làm được.
        |

        Comment


        • #19
          Nhân tiện ai có nhưng clip hướng dẫn sử dụng các công cụ trong ise có thể post lên giúp được không.
          a jeff cố găng cung cấp giúp cho những người mới như e cùng tìm hiểu nha a
          |

          Comment


          • #20
            Okay,
            Giả sử như bạn đã hiểu qua VHDL/Verilog.
            Bây giờ có một development kit.
            Mình sẽ làm một mạch đơn giản. Jeff thấy board của bạn có 8 LED ... Mình sẽ tạo một mạch đếm 8 bit , đếm từ 0 tới 255. Giá trị của bộ đếm này sẽ nối thẳng vào 8 LED của bạn, 8-LED nhấp nháy theo giá trị của bộ đếm.
            Trước tiên, bạn cài ISE đi đã, và kiếm các tutorial tạo project, thêm file ....
            Bạn google thế nào cũng ra ...

            Comment


            • #21
              Vâng e viết mail nhờ anh cho tiện.e nghĩ room để post thảo luận cho hiệu quả
              cảm ơn a nhiều
              |

              Comment


              • #22
                Bạn tham khảo một số video dạy về FPGA trên internet, họ giảng cũng rất chi tiết. Ví dụ như loạt bài giảng này:
                http://video.google.com/videoplay?do...6032722135072#
                Bạn có kít rồi thì dần dần làm quen sẽ ổn thôi.

                Comment


                • #23
                  Hardware design

                  Mình cũng đang làm đồ án về thiết kế Z80 bằng Verilog HDL, mới có chút khái niệm về FPGA và SOPC nhưng mình rất thích lĩnh vực này. Mong các pác có bài viết nào hay thì post lên cho anh em học hỏi nha !
                  Thanks !

                  Comment


                  • #24
                    Nguyên văn bởi katachi Xem bài viết
                    Mình cũng đang làm đồ án về thiết kế Z80 bằng Verilog HDL, mới có chút khái niệm về FPGA và SOPC nhưng mình rất thích lĩnh vực này. Mong các pác có bài viết nào hay thì post lên cho anh em học hỏi nha !
                    Thanks !
                    Được được, mở thread làm project đi bạn, quá trình bạn làm đề án sẽ được lưu lại để mọi người học hỏi.

                    Comment


                    • #25
                      Vài điều cơ bản cần biết khi bắt đầu làm FPGA
                      http://www.dientuvietnam.net/forums/...ad.php?t=33377

                      Comment


                      • #26
                        cho mình hỏi 'timescale có ý nghĩa gì trong thiết kế?

                        Comment


                        • #27
                          Timescale dùng trong simulation, mỗi chương trình mô phỏng có 1 bước thời gian.
                          `timescale 1ns/10ps
                          10ps có nghĩa là, bước nhỏ nhất bạn có thể mô phỏng được là 10ps (bước thời gian = 10ps). Các tín hiệu chỉ thay đổi ở các khoảng thời gian 10ps.
                          1ns = mặc định 1 đơn vị thời gian là 1ns
                          Ví dụ : #1 a <= b;
                          a sẽ bằng b sau khi trễ 1ns.
                          Và bạn có thể chỉ định delay ở múc chính xác đển 10ps, #1.01 ... không biết nếu bạn có câu lệnh: $1.001 thì điểu gì xảy ra. Bạn google với mô phỏng thử.

                          Comment


                          • #28
                            FPGA cơ bản

                            Nguyên văn bởi dbglory Xem bài viết
                            cái process trong VHDL có tác dụng gì vậy các anh ơi, giúp em với
                            process à, process này là phần chứa các mã (câu lệnh) sẽ được thực hiện tuần tự theo tín hiệu trong sensitivity list(thường là clk, rst)
                            ví dụ nè
                            library ieee ;
                            use ieee.std_logic_1164.all;
                            use ieee.std_logic_unsigned.all;

                            ----------------------------------------------------

                            entity counter is

                            generic(n: natural :=2);
                            port( clock: in std_logic;
                            clear: in std_logic;
                            count: in std_logic;
                            Q: out std_logic_vector(n-1 downto 0)
                            );
                            end counter;

                            ----------------------------------------------------

                            architecture behv of counter is signal Pre_Q: std_logic_vector(n-1 downto 0);

                            begin

                            -- behavior describe the counter

                            process(clock, count, clear)
                            begin
                            if clear = '1' then --cac lenh thuc hien tuan tu
                            Pre_Q <= Pre_Q - Pre_Q; --tu tren xuong duoi
                            elsif (clock='1' and clock'event) then
                            if count = '1' then
                            Pre_Q <= Pre_Q + 1;
                            end if;
                            end if;
                            end process;

                            -- cac bieu thuc ngoai process se thuc hien dong thoi.
                            Q <= Pre_Q;

                            end behv;

                            -----------------------------------------------------

                            trong 1 achitecture, có thể khai báo nhiều process, các process thì lại được thực hiện đồng thời.

                            Chúc vui!

                            Comment


                            • #29
                              mình có cái kit stratix II của altera chip ep2s60. mình định tìm hiểu về con này. ai đã làm về con này giúp mình với. cho mình 1 ví dụ về sử dụng phím bấn trên kít đc thì tốt quá. cảm ơn tất cả mọi người.

                              Comment


                              • #30
                                Nguyên văn bởi dongnguyen Xem bài viết
                                mình có cái kit stratix II của altera chip ep2s60. mình định tìm hiểu về con này. ai đã làm về con này giúp mình với. cho mình 1 ví dụ về sử dụng phím bấn trên kít đc thì tốt quá. cảm ơn tất cả mọi người.
                                Bạn vào thư mục ví dụ cho các board mạch mà Altera đã cho (ví dụ C:\altera\91\quartus\dsp_builder\DesignExamples\De mos\Board\). Trong thu muc ay ban chon tiếp kit của bạn và chạy thử.
                                Chúc bạn thử tốt kit

                                Comment

                                Về tác giả

                                Collapse

                                vqsktdt Tìm hiểu thêm về vqsktdt

                                Bài viết mới nhất

                                Collapse

                                Đang tải...
                                X