Thông báo

Collapse
No announcement yet.

FPGA cơ bản

Collapse
This is a sticky topic.
X
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • #31
    Em là lính mới, đang đi theo con đường FPGA thiết kế chip. Có anh nào ở Đà Nẵng khôg ah. Em xin làm đệ tử dc không!
    Tiện đây cho e hỏi cách test RAM trên modelsim như thế nào ah!

    Comment


    • #32
      Nguyên văn bởi dongnguyen Xem bài viết
      mình có cái kit stratix II của altera chip ep2s60. mình định tìm hiểu về con này. ai đã làm về con này giúp mình với. cho mình 1 ví dụ về sử dụng phím bấn trên kít đc thì tốt quá. cảm ơn tất cả mọi người.
      Cho minh hoi la ban da chay duoc vi du chua?

      Comment


      • #33
        Làm thế nào để kết nối FPGA - Microcontroller?
        Từ đơn giản đến phức tạp:

        http://www.eetimes.com/design/progra...s?pageNumber=0

        Comment


        • #34
          mấy bro ơi, có thể giúp em cách đổ code vào kit DE 2 ko ạ? em mới tập làm quen với FPGA, mấy anh có thể chỉ cho em làm các bước cơ bản nhất không ạ

          Comment


          • #35
            Nguyên văn bởi lamvigian Xem bài viết
            mấy bro ơi, có thể giúp em cách đổ code vào kit DE 2 ko ạ? em mới tập làm quen với FPGA, mấy anh có thể chỉ cho em làm các bước cơ bản nhất không ạ
            gu gô đi, lâm vĩ gián!

            Comment


            • #36
              Chào cả nhà!
              Ai có thể giúp mình khắc phục 1 số lỗi khi tổng hợp chương trình lên kit được không
              1,mình ghép 2 lõi DCM để tạo clock điều khiển chung thì khi tông hợp báo lỗi
              2,mình dùng 4 switch để điều khiển chọn trạng thái,mình coi mỗi switch như 1 bit và thay đổi đồng loạt để điều khiển chọn 12 trạng thái thi khi nạp báo lỗi
              3,Mọi người giúp mình cách tao thêm hoặc ghép thêm ngoại vi vào kit với,kit mình dùng chỉ có 4 switch và 8 led.mình muốn tăng thêm số switch hoặc led nhưng hơi vướng mắc
              Mong mọi người giúp mình !
              |

              Comment


              • #37
                Nguyên văn bởi adthvqs Xem bài viết
                Chào cả nhà!
                Ai có thể giúp mình khắc phục 1 số lỗi khi tổng hợp chương trình lên kit được không
                1,mình ghép 2 lõi DCM để tạo clock điều khiển chung thì khi tông hợp báo lỗi
                2,mình dùng 4 switch để điều khiển chọn trạng thái,mình coi mỗi switch như 1 bit và thay đổi đồng loạt để điều khiển chọn 12 trạng thái thi khi nạp báo lỗi
                3,Mọi người giúp mình cách tao thêm hoặc ghép thêm ngoại vi vào kit với,kit mình dùng chỉ có 4 switch và 8 led.mình muốn tăng thêm số switch hoặc led nhưng hơi vướng mắc
                Mong mọi người giúp mình !
                1) Ban post Lỗi mà chương trình báo thì mới may ra biết được.
                2) Nếu khi nạp báo lỗi thì thông thường ko phải do thiết kế của bạn.

                Comment


                • #38
                  Nguyên văn bởi vqsktdt Xem bài viết
                  Quy trình thiết kế FPGA
                  Chào anh, em muốn sử dụng hình vẽ trong tài liệu, vậy xin cho biết tác giả và nguồn chính xác để em viết trích dẫn được không ạ?

                  Comment


                  • #39
                    Cảm ơn bạn post bài hường dẫn mọi người.
                    Bạn viết bằng Tiếng Việt được không? Nếu những thuật ngữ không dịch được thì dùng nguyên từ.
                    Bạn viết bằng tiếng Anh sẽ gây khó khăn cho một số bạn.

                    Comment


                    • #40
                      Bài viết về chuyển miền clock http://www-micrel.deis.unibo.it/~ben...ings_paper.pdf

                      Comment


                      • #41
                        chào anh chị !
                        em đang bắt đầu tìm hiểu về FPGA để làm đề tài tốt nghiệp (mới năm 4 chưa biết gì )
                        em đọc tài liệu trên mạng về FPGA thì em thấy nó có những ứng dụng rất hay và tiềm năng xin việc cũng cao nhưng khi đọc về làm như thế nào để thiết kế được một chip FPGA em lại thây ngán.
                        em thấy mơ hồ quá.Ví dụ như dùng các phần mềm cấu tạo phần cứng,dùng phần mềm viết lệnh phần cứng.Vậy cho em hỏi thứ tự công việc được tiến hành như thế nào.Có phải kà :
                        1.thiết kế mô phỏng phần cứng bằng Quảtus
                        2.Viết phần mềm mô tả bằng NOII IDE,vậy dùng ngôn ngữ HDL ở đâu vì em thấy NOII là các IP có sẵn mà
                        3.SOPC là cái gì nữa.Nó là cái SP cuối cùng mình hướng đến hay sao vậy

                        Tel:0973968101

                        Comment


                        • #42
                          Nguyên văn bởi kien_hatinh Xem bài viết
                          chào anh chị !
                          em đang bắt đầu tìm hiểu về FPGA để làm đề tài tốt nghiệp (mới năm 4 chưa biết gì )
                          em đọc tài liệu trên mạng về FPGA thì em thấy nó có những ứng dụng rất hay và tiềm năng xin việc cũng cao nhưng khi đọc về làm như thế nào để thiết kế được một chip FPGA em lại thây ngán.
                          em thấy mơ hồ quá.Ví dụ như dùng các phần mềm cấu tạo phần cứng,dùng phần mềm viết lệnh phần cứng.Vậy cho em hỏi thứ tự công việc được tiến hành như thế nào.Có phải kà :
                          1.thiết kế mô phỏng phần cứng bằng Quảtus
                          2.Viết phần mềm mô tả bằng NOII IDE,vậy dùng ngôn ngữ HDL ở đâu vì em thấy NOII là các IP có sẵn mà
                          3.SOPC là cái gì nữa.Nó là cái SP cuối cùng mình hướng đến hay sao vậy
                          Bạn quên NIOSII IDE đi, mới làm quen FPGA không cần biết cái đó, nó là một lĩnh vực khác của FPGA.
                          NIOS II là tên của một vi xử lý phát triển bới Altera.
                          NIOS II IDE là giao diện dùng để phát triển code chạy trên con NIOSII này bởi nó tích hợp sẵn text editor và compiler dịch cho NIOS
                          SOPC là giao diện dùng để thiết kế hệ thống đệm/nhúng (embedded system). Hệ thống đệm quanh đi quẩn lại chỉ gồm vi xử lý, bus và các ngoại vi nên SOPC chuyên dùng để cấu hình loại hệ thống này.
                          Bạn có thể coi SOPC sẽ sinh ra các file nguồn mô tả hệ thống của bạn. Các file nguồn này vẫn được dịch và tổng hợp bới các trình gọi là synthesis tool (Tích hợp trong phần mềm Quartus II)
                          Attached Files
                          Last edited by jefflieu; 24-11-2014, 10:12.

                          Comment


                          • #43
                            5 bài FPGA Tutorials
                            Bài 1
                            Bài 2
                            Bài 3
                            Bài 4
                            Bài 5
                            Last edited by jefflieu; 14-11-2014, 16:23.

                            Comment


                            • #44
                              Bài 6
                              Bài 7

                              Comment


                              • #45
                                Chào cả nhà!
                                Cả nhà có thể giúp em cái này được không? Em muốn mô phỏng dạng sóng của 1 mạch so sánh 2 số mỗi số có 3 bits. Vấn đề là em không biết làm thế nào để tạo được các giá trị khác nhau cho mỗi tín hiệu ngõ vào (VD:"010"; "110"; ...). Việc tạo xung clock, mô phỏng dạng bit đơn '1', '0' thì em làm được rồi, có cái này là không biết sao luôn. Em dùng Xilin 14.5 (vì không tải được bản 8.2) và mô phỏng bằng Isim tích hợp sẵn trong phần mềm luôn.
                                Cả nhà giúp em với, em cảm ơn!

                                Comment

                                Về tác giả

                                Collapse

                                vqsktdt Tìm hiểu thêm về vqsktdt

                                Bài viết mới nhất

                                Collapse

                                Đang tải...
                                X