Thông báo

Collapse
No announcement yet.

Mong một bài hướng dẫn nhỏ về Quartus II

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • #16
    mình cũng ko hiểu rõ ý bạn là gì nhưng mà nếu muốn thực hiện nhiều công việc song song thì có thể tách riêng nó ra, dùng các modun con hoặc dùng nhiều khối always...

    Comment


    • #17
      Nguyên văn bởi neverup Xem bài viết
      hì hì bác ơi , em làm đc rồi ạ em cám ơn bác nhé . tiện thể bác cho em hỏi muốn thực hiện 2 hoặc nhiều hành động trong 1 loạt câu lệnh thì dùng cấu trúc gì ạ ?
      bác học avr thì chắc con nios II cũng không quá khó sử dụng, dùng nios II cho mấy bài này cũng đc

      Comment


      • #18
        Nguyên văn bởi tientruyen Xem bài viết
        mình cũng ko hiểu rõ ý bạn là gì nhưng mà nếu muốn thực hiện nhiều công việc song song thì có thể tách riêng nó ra, dùng các modun con hoặc dùng nhiều khối always...
        em sợ dùng khối always thì nó sẽ làm theo công việc tuần tự chứ ko phải làm cùng 1 lúc 2 công việc

        Comment


        • #19
          Nguyên văn bởi boyzzun Xem bài viết
          bác học avr thì chắc con nios II cũng không quá khó sử dụng, dùng nios II cho mấy bài này cũng đc
          vâng , cám ơn bác ạ nhưng tại em đang có mấy bài tập tới FPGA , hix

          Comment


          • #20
            Nguyên văn bởi neverup Xem bài viết
            em sợ dùng khối always thì nó sẽ làm theo công việc tuần tự chứ ko phải làm cùng 1 lúc 2 công việc
            cứ làm đi, thử cho 2 khối always điều khiển riêng biệt 2 con led sẽ thấy......

            Comment


            • #21
              Khái niệm tuần tự và song song trong HDL phải mất một thời gian bạn mới hiểu được... nhưng bạn phải "nghịch" nó bạn mới hiểu được ... ngồi sợ ko hiểu được đâu

              Comment


              • #22
                trong architecture thì là song song, còn trong entity hoặc trong process thì thứ tự các biến hay các lệnh là tuần tự

                Comment


                • #23
                  Nguyên văn bởi jefflieu Xem bài viết
                  Khái niệm tuần tự và song song trong HDL phải mất một thời gian bạn mới hiểu được... nhưng bạn phải "nghịch" nó bạn mới hiểu được ... ngồi sợ ko hiểu được đâu
                  cái cơ bản em ko hiểu cách mô phỏng nó như thế nào, hồi trước học AVR , nó hỗ trợ proteus vs atium thì làm mấy ví dụ nhỏ rồi chạy test thì hiểu được code diễn biến ra làm sao

                  Comment


                  • #24
                    Nguyên văn bởi tan0710 Xem bài viết
                    trong architecture thì là song song, còn trong entity hoặc trong process thì thứ tự các biến hay các lệnh là tuần tự
                    em dùng lệnh Always@ sử dụng thể thực hiện 2 lệnh khác nhau chắc là được bác nhỉ

                    Comment


                    • #25
                      bác jefflieu , thanhnguyen, tan071 ơi, bác nào giải đáp em 1 số câu hỏi vụn vặt này nhé :
                      1, tín hiệu ra ở chân I/O liệu có đủ mạnh để kích chân của trans không ?
                      2,giả sử output của em là A với giá trị 4'b0101 thì lúc assign pin với trên broad ấy ạ thì " chiều" nó sẽ ra như thế nào ở A(0),A(1),A(2),A(3)?
                      3,em muốn thời gian xuất tín hiều ở output bằng 1 khoảng tg delay nào đó thì em sử dụng như thế này được ko ạ :
                      if(rst) q=a
                      #delay q=a
                      em xin cám ơn ạ

                      Comment


                      • #26
                        Bạn vào đây download ModelSim, bản free về mà nghịch : Download Center

                        Comment


                        • #27
                          Nguyên văn bởi jefflieu Xem bài viết
                          Bạn vào đây download ModelSim, bản free về mà nghịch : Download Center
                          bác giúp em mấy câu hỏi trên kia với

                          Comment


                          • #28
                            Nguyên văn bởi neverup Xem bài viết
                            bác jefflieu , thanhnguyen, tan071 ơi, bác nào giải đáp em 1 số câu hỏi vụn vặt này nhé :
                            1, tín hiệu ra ở chân I/O liệu có đủ mạnh để kích chân của trans không ?
                            2,giả sử output của em là A với giá trị 4'b0101 thì lúc assign pin với trên broad ấy ạ thì " chiều" nó sẽ ra như thế nào ở A(0),A(1),A(2),A(3)?
                            3,em muốn thời gian xuất tín hiều ở output bằng 1 khoảng tg delay nào đó thì em sử dụng như thế này được ko ạ :
                            if(rst) q=a
                            #delay q=a
                            em xin cám ơn ạ
                            1: chưa thử --> chưa biết......
                            2: A(0) = 1, A(1) = 0, A(2) = 1, A(3) = 0.
                            3: sử dụng khối alway rồi đếm xung thôi, khi nào đếm đủ thì cho nó thay đổi --> phải biết tần số clk đưa vào....

                            Comment


                            • #29
                              Nguyên văn bởi neverup Xem bài viết
                              bác jefflieu , thanhnguyen, tan071 ơi, bác nào giải đáp em 1 số câu hỏi vụn vặt này nhé :
                              1, tín hiệu ra ở chân I/O liệu có đủ mạnh để kích chân của trans không ?
                              2,giả sử output của em là A với giá trị 4'b0101 thì lúc assign pin với trên broad ấy ạ thì " chiều" nó sẽ ra như thế nào ở A(0),A(1),A(2),A(3)?
                              3,em muốn thời gian xuất tín hiều ở output bằng 1 khoảng tg delay nào đó thì em sử dụng như thế này được ko ạ :
                              if(rst) q=a
                              #delay q=a
                              em xin cám ơn ạ
                              1. Bạn hỏi để làm gì? Sao tự dưng lại hỏi cái này?
                              Bạn mở xem datasheet của transitor, cần bao nhiêu Volt/mA để bật nó, rồi mở datasheet của FPGA coi nó có thỏa không ....

                              2. Không biết chiều của bạn nói là chiểu gì ... trên fpga pin có 3 kiểu:
                              - Input: nhận vão
                              - Output: Ra, nó sẽ drive tín hiệu, khi bạn drive tín hiệu "cao", pin sẽ sinh ra dòng chạy ra (Current Source) ..., khi bạn drive tín hiệu "thấp": pin sẽ sinh ra dòng chạy vô (current sink)
                              - Inout ... (Tự tìm hiểu)

                              3. Bạn phải hiểu là VHDL/Verilog không phải là ngôn ngữ lập trình.. Nghĩa là không có con chip nào đọc code của bạn ...
                              Những gì bạn viết sẽ được dịch ra mạch điện ... và FPGA/CPLD sẽ được cấu hình thành mạch điện mà bạn mô tả.
                              Bạn muốn xuất 2 tín hiệu A, B lệch nhau 1 us thì phải làm như tientruyen nói ...
                              ban đầu: Xuất ra A = '1'
                              Đếm chu kì cho đủ 1 us
                              Xuất ra B = '1'

                              Comment


                              • #30
                                Nguyên văn bởi tientruyen Xem bài viết
                                1: chưa thử --> chưa biết......
                                2: A(0) = 1, A(1) = 0, A(2) = 1, A(3) = 0.
                                3: sử dụng khối alway rồi đếm xung thôi, khi nào đếm đủ thì cho nó thay đổi --> phải biết tần số clk đưa vào....
                                em tưởng tần số clk mình đưa vào là mặc định tùy theo mình dùng thạch anh gì chứ ạ

                                Comment

                                Về tác giả

                                Collapse

                                neverup Tìm hiểu thêm về neverup

                                Bài viết mới nhất

                                Collapse

                                Đang tải...
                                X