Thông báo

Collapse
No announcement yet.

SystemVerilog và SystemC

Collapse
This is a sticky topic.
X
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • #31
    Nguyên văn bởi jefflieu Xem bài viết
    Hi anh Tony, em thay trên thread ben PSOC anh có nói có thể dùng Visual C compiler và link vào thư viện systemC của SystemC.org để compile.
    Anh có thể nói rõ hơn quá trình set-up không ạh?
    Jeff theo cái thread dưới đây để lấy chỉ dẫn nhe.

    http://dientuvietnam.net/forums/show...534#post249534
    Chúc một ngày vui vẻ
    Tony
    email : dientu_vip@yahoo.com

    Comment


    • #32
      nếu nói vậy thì bác jefflieu đã nghiên cứu xong 2 ngôn ngữ vhdl và Verilog rồi. vậy bác có thể gọi ý cho em cách thiết kế bộ chuyển đôi ADC 8 bit bằng ngôn ngữ VHDL được không ạ? hoặc ai biết thì giúp em với.thanks!

      Comment


      • #33
        Nguyên văn bởi matranlogic Xem bài viết
        nếu nói vậy thì bác jefflieu đã nghiên cứu xong 2 ngôn ngữ vhdl và Verilog rồi. vậy bác có thể gọi ý cho em cách thiết kế bộ chuyển đôi ADC 8 bit bằng ngôn ngữ VHDL được không ạ? hoặc ai biết thì giúp em với.thanks!
        Muốn model ADC, bạn cần phải biết AVHDL (analog VHDL) tại vì đường vào là analog. VHDL chỉ ứng dụng cho digital thôi.
        Chúc một ngày vui vẻ
        Tony
        email : dientu_vip@yahoo.com

        Comment


        • #34
          Nguyên văn bởi tonyvandinh Xem bài viết
          Muốn model ADC, bạn cần phải biết AVHDL (analog VHDL) tại vì đường vào là analog. VHDL chỉ ứng dụng cho digital thôi.
          xin lỗi bác nhưng có người làm đã làm rồi. đây các down về xem và giúp em với.
          http://www.mediafire.com/?m1jjl5zyyj0
          thanks!

          Comment


          • #35
            Nguyên văn bởi matranlogic Xem bài viết
            xin lỗi bác nhưng có người làm đã làm rồi. đây các down về xem và giúp em với.
            http://www.mediafire.com/?m1jjl5zyyj0
            thanks!
            Có người làm rồi nhưng làm có đúng hay không? Bạn muốn làm ADC. Nếu tôi không lầm thì ADC là Analog to Digital Converter. Muốn làm cho chính xác bạn phải biết về sampling and hold và band gap filter. Chỉ có một vài hãng nổi tiếng biết làm về thứ này như Analog Device, TI, Motorola etc.... Muốn làm 8 bit ADC, theo lý thuyết thì cần 256 comparators và sau đó encode 256 thành 8 bit representation. Giả thử như đường vào là -15v tới +15v cho ra là 30v. Resolution của ADC này phải là 30v/256. Bạn có thể dùng mạng điện trở để tạo ra 256 vref. Những vref này sẽ được dùng cho vref ở những comparators. Cho mỗi sample (đường ra của sample and hold, đi vô cái cực kia của comparator) chỉ có một cái "on" thôi cho nên cần encoder để chuyển qua 8 bit binary.

            Theo tôi nghĩ thì cái project mà bạn cho link ở trên chỉ dùng VHDL để làm cái encoder này thôi. Bài quá mờ cho nên tôi không thấy rõ testbench nhưng theo kinh nghiệm của tôi thì VHDL không mô tả được linear equation (analog signal) là tại vì không có cái datatype nào có thể áp dụng cho analog. Nếu bạn biết thì tôi xin lắng nghe để học hỏi thêm. Mô tả ADC dùng AVHDL cũng không dễ đâu. Nếu dễ thì tôi cũng xin học hỏi thêm.

            Trên con đường học hỏi thường có sự hiểu lầm, những lời tôi nói trên là theo kinh nghiệm mà thôi. Không ai có thể biết được mọi thứ cho nên thiếu sót là chuyện thường. Nếu bạn có thể hướng dẫn thêm cho tôi thì tôi rất là cảm kích và cám ơn bạn rất nhiều.

            Chào
            Tony
            Chúc một ngày vui vẻ
            Tony
            email : dientu_vip@yahoo.com

            Comment


            • #36
              Nguyên văn bởi tonyvandinh Xem bài viết
              Có người làm rồi nhưng làm có đúng hay không? Bạn muốn làm ADC. Nếu tôi không lầm thì ADC là Analog to Digital Converter. Muốn làm cho chính xác bạn phải biết về sampling and hold và band gap filter. Chỉ có một vài hãng nổi tiếng biết làm về thứ này như Analog Device, TI, Motorola etc.... Muốn làm 8 bit ADC, theo lý thuyết thì cần 256 comparators và sau đó encode 256 thành 8 bit representation. Giả thử như đường vào là -15v tới +15v cho ra là 30v. Resolution của ADC này phải là 30v/256. Bạn có thể dùng mạng điện trở để tạo ra 256 vref. Những vref này sẽ được dùng cho vref ở những comparators. Cho mỗi sample (đường ra của sample and hold, đi vô cái cực kia của comparator) chỉ có một cái "on" thôi cho nên cần encoder để chuyển qua 8 bit binary.

              Theo tôi nghĩ thì cái project mà bạn cho link ở trên chỉ dùng VHDL để làm cái encoder này thôi. Bài quá mờ cho nên tôi không thấy rõ testbench nhưng theo kinh nghiệm của tôi thì VHDL không mô tả được linear equation (analog signal) là tại vì không có cái datatype nào có thể áp dụng cho analog. Nếu bạn biết thì tôi xin lắng nghe để học hỏi thêm. Mô tả ADC dùng AVHDL cũng không dễ đâu. Nếu dễ thì tôi cũng xin học hỏi thêm.

              Trên con đường học hỏi thường có sự hiểu lầm, những lời tôi nói trên là theo kinh nghiệm mà thôi. Không ai có thể biết được mọi thứ cho nên thiếu sót là chuyện thường. Nếu bạn có thể hướng dẫn thêm cho tôi thì tôi rất là cảm kích và cám ơn bạn rất nhiều.

              Chào
              Tony
              cảm ơn bác Tony về những kinh nghiệm của bác em xin lắng nghe ạ.do em không được học về cái này( vhdl) chỉ mới nghiên cứu về nó thôi ạ nên em chưa hiểu rõ về nó. có gì mong bác và mọi người trong diễn đàn giúp đỡ thêm ạ. thế có thể làm được bộ chuyển đổi DAC không ạ?

              Comment


              • #37
                Nguyên văn bởi matranlogic Xem bài viết
                cảm ơn bác Tony về những kinh nghiệm của bác em xin lắng nghe ạ.do em không được học về cái này( vhdl) chỉ mới nghiên cứu về nó thôi ạ nên em chưa hiểu rõ về nó. có gì mong bác và mọi người trong diễn đàn giúp đỡ thêm ạ. thế có thể làm được bộ chuyển đổi DAC không ạ?
                Cảnh cáo ban matranlogic vì câu hỏi off-topic (Anh F xúi là phải cảnh cáo những bài viết kiểu này ). Có gì mời bạn mở một thread khác, và nếu thật sự quan tâm và muốn học hỏi, bạn phải nêu câu hỏi một cách chi tiết (Để nêu câu hỏi được chi tiết cần không ít những nghiên cứu ban đầu).

                Comment


                • #38
                  Nguyên văn bởi jefflieu Xem bài viết
                  Cảnh cáo ban matranlogic vì câu hỏi off-topic (Anh F xúi là phải cảnh cáo những bài viết kiểu này ). Có gì mời bạn mở một thread khác, và nếu thật sự quan tâm và muốn học hỏi, bạn phải nêu câu hỏi một cách chi tiết (Để nêu câu hỏi được chi tiết cần không ít những nghiên cứu ban đầu).
                  Cám ơn bạn Jeff đã nhắc nhở về nghi lệ của thread

                  matranlogic, mình đã đi khá xa ngoài đề tài của thread này và có thể tạo khó khăn cho những bạn muốn theo dõi. Thôi thì bạn cố gắng mở một thread mới nhé. Tiện đây tôi xin gửi bạn cái link này để bạn nghiên cứu thêm và hy vọng nhờ đó bạn có thể tạo một thread thích thú hơn

                  http://en.m.wikipedia.org/wiki/Analo...edirected=true

                  chúc bạn thành công
                  Tony
                  Chúc một ngày vui vẻ
                  Tony
                  email : dientu_vip@yahoo.com

                  Comment


                  • #39
                    Nguyên văn bởi tonyvandinh Xem bài viết
                    Tôi không biết vì sao không up được nên diễn đàn này cho nên tôi up lên megaupload. Các bạn theo cái link ở dưới để lấy xuống nhé

                    http://www.megaupload.com/?d=N279HY4Z
                    anh ơi, link failed rồi á, up lại đi

                    Có bác nào biết thầy Nguyễn Đức Minh ở khoa ĐTVT - ĐHBKHN ko ạ. thấy bảo đây là 1 chuyên gia formal verification.
                    Cupid1102 = Cupid độc nhất vô nhị

                    Comment


                    • #40
                      Nguyên văn bởi stupid1102 Xem bài viết
                      anh ơi, link failed rồi á, up lại đi

                      Tôi đã up lên diễn đàn rồi, chắc đọc chưa tới

                      http://www.dientuvietnam.net/forums/...9&d=1270835214

                      Nguyên văn bởi stupid1102 Xem bài viết
                      Có bác nào biết thầy Nguyễn Đức Minh ở khoa ĐTVT - ĐHBKHN ko ạ. thấy bảo đây là 1 chuyên gia formal verification.
                      Sao có nhiều người hiểu lầm về Formal Verification thế nhỉ. Chắc vì nhiều bài hay dùng chữ tắt là FV. Nhưng chính ra là được viết tắt cho Functional Verification. SystemV và systemC hay được dùng cho Functional Verification. SystemV có lợi điểm hơn nếu chỉ dùng để thử hardware, dễ dùng cho RTL designers hơn vi chỉ là extension của Verilog. SystemC thì tiện cho thử cả hai lãnh vực hardware và software. Tiện cho hardware và software partitioning. systemC 2.0 đi thêm một bước mới về TLM (transaction level modeling). Giúp làm modeling dễ và nhanh hơn (higher abstraction). Những kỹ thuật này còn khá mới mẻ với những nước đã phát triển cho nên có thể rất xa vời với VN.
                      Chúc một ngày vui vẻ
                      Tony
                      email : dientu_vip@yahoo.com

                      Comment


                      • #41
                        Chào bác Tony,

                        Tớ không làm về SystemC nhưng cũng xin đính chính một chút ạ:
                        Những kỹ thuật này còn khá mới mẻ với những nước đã phát triển cho nên có thể rất xa vời với VN.
                        Công ty tớ đã làm về mảng này cách đây 3,4 năm rồi, có hẳn một đội về System Level Design, cũng khá mạnh. Ý tớ là những kĩ thuật nêu trên không hẳn là xa vời với VN đâu :-),

                        Thân,
                        Bully.

                        Comment


                        • #42
                          Nguyên văn bởi bully Xem bài viết
                          Công ty tớ đã làm về mảng này cách đây 3,4 năm rồi, có hẳn một đội về System Level Design, cũng khá mạnh
                          Nhật bản là quốc gia đi sâu nhất về systemC. Chắc công ty của bạn có sự liên hệ với những đối tác ở Nhật Bản?

                          Tôi muốn mở một đề tài về systemC nhưng ngại là nó không thích hợp với các bạn. Bully nghĩ sao?
                          Chúc một ngày vui vẻ
                          Tony
                          email : dientu_vip@yahoo.com

                          Comment


                          • #43
                            Chào buổi tối Tony,

                            Nhật bản là quốc gia đi sâu nhất về systemC. Chắc công ty của bạn có sự liên hệ với những đối tác ở Nhật Bản?
                            Yep, đối tác của tớ ở Nhật Bản.
                            (Hiện tại thì nhóm SLD mà tớ nói đang triển khai mảng High-Level Synthesis thì phải).
                            Tớ có nói chuyện với bạn Leader nhóm đó sáng nay, bạn ấy nói về kỹ thuật bên VN mình nắm khá tốt, chỉ sau các bác Nhật khoảng 6 tháng (PR cho bạn bè tí :-).


                            Tôi muốn mở một đề tài về systemC nhưng ngại là nó không thích hợp với các bạn. Bully nghĩ sao?
                            Tại sao không nhỉ?

                            Tuy nhiên tớ nghĩ cân nhắc chọn đề tài nào cũng là một vấn đề.
                            Theo ý tớ, mình có thể bắt đầu từ những ví dụ đi kèm của các EDA tools.
                            Tớ thì khoái bên Synopsys hơn (vì mới cài được VCS trên Ubuntu nhờ sự giúp đỡ của bác Rommel :-),
                            Tool của Mentor thì tớ mới biết có Modelsim. Nghe nói Questasim hỗ trợ SystemC và SystemVerilog khá tốt.
                            Nếu khởi đầu thuận lợi thì mình có thể chọn một đề tài khó hơn hoặc có thể đưa những ví dụ sẵn có trên opencores để phân tích ... blah blah blah.

                            Tớ thì không biết về SystemC lắm (dù hồi xưa cũng có học 1 môn về nó!), nhưng nếu Tony "khởi động" thì tớ sẽ tham gia.

                            (Thông tin thêm: bạn Rommel cũng up lên tool Coware của Synopsys, khá là hay :-)

                            Chúc các bạn vui vẻ,
                            Bully

                            Comment


                            • #44
                              Tớ thì không biết về SystemC lắm (dù hồi xưa cũng có học 1 môn về nó!), nhưng nếu Tony "khởi động" thì tớ sẽ tham gia.
                              Để tôi mở một đề tài về căn bản của systemC. Hy vọng sẽ được nhiều bạn hưởng ứng.
                              Chúc một ngày vui vẻ
                              Tony
                              email : dientu_vip@yahoo.com

                              Comment


                              • #45
                                Chào anh Tony,

                                Nguyên văn bởi tonyvandinh Xem bài viết
                                SystemC thì tiện cho thử cả hai lãnh vực hardware và software. Tiện cho hardware và software partitioning.
                                Anh có thể nói rõ hơn về vấn đề này được không? Giả thiết thế này: ta đang thiết kế một hệ thống SoC có tích hợp DSP, kỹ sư software có viết một vài chương trình như decoder/encoder video và muốn mô phỏng xem chạy như thế nào. Nếu ta xây dựng môi trường kiểm tra dựa trên SystemVerilog thì chỉ có thể kiểm tra được nếu chương trình software đó đã được biên dịch thành mã "01.." dưới định dạng của bộ nhớ ROM hay RAM. Điều đó có nghĩa là kỹ sư software phải dùng một bộ công cụ riêng để biên dịch phần mềm xuống dạng binary rồi mới có thể mô phỏng được trên hệ thống kiểm tra SoC đó; trong khi nếu môi trường kiểm tra được xây dựng dựa trên SystemC thì kỹ sư software có thể trực tiếp dùng hệ thống kiểm tra đó để mô phòng software của họ. Em hiểu như vậy có đúng không?

                                Hoặc đơn giản như viết firmware, thì các kỹ sư firmware có thể dùng trực tiếp hệ thống kiểm tra xây dựng dựa trên systemC để kiểm tra firmware của họ không? Hiện tại em chỉ có thể kiểm tra firmware dưới dạng mã hex của ROM/SRAM với hệ thống kiểm tra xây dựng dựa trên SystemVerilog. (tức là phải cần một trình biên dịch như keil để dịch xuống mã hex của ROM sau đó mới mô phỏng được nên đôi khi communication giữa kỹ sư thiết kế và kỹ sư viết firmware không được thông cho lắm.)

                                Rât mong được trao đổi thêm với anh về vấn đề này!
                                Thân mến,

                                Comment

                                Về tác giả

                                Collapse

                                jefflieu Email minh trực tiếp nếu bạn cần download tài liệu gấp Tìm hiểu thêm về jefflieu

                                Bài viết mới nhất

                                Collapse

                                Đang tải...
                                X