Thông báo

Collapse
No announcement yet.

Analog IC design

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • #31
    Mixed-signal IC design

    Hiểu một cách đơn giản về thiết kế Mixed-signal IC là thiết kế một IC mà trong đó bao gồm cả phần thiết kế tương tự và phần thiết kế số. Vì không đi sâu vào khái niệm để trả lời câu hỏi “thiết kế mixed-signal là gì? "nên ở đây chỉ đưa ra một ví dụ cơ bản về thiết kế mixed-signal. Đó là thiết kế mạch NOT và có thể hiểu như sau:
    + Thiết kế mạch NOT là thiết kế số nếu chỉ quan tâm tới out <= NOT(in) và kết quả INV X1 (.A(in) .Z(out));
    + Thiết kế mạch NOT là thiết kế tương tự nếu chỉ quan tâm tới hệ số khuyếch đại tín hiệu (mạch NOT cũng có thể làm mạch khuyếch đại rất tốt trong dải điện áp nhỏ nằm giữa ngưỡng tín hiệu 0 và tín hiệu 1 của mạch số) hay gọi cách khác là độ “strong” của mạch NOT và thiết kế điều chỉnh W/L để đạt được độ “strong” mong muốn.
    + Và thiết kế mạch NOT là thiết kế mixed-signal nếu quan tâm tới cả hai điều trên và kết quả là ra đời các thư viện INVX2/4/8, . . . với các độ “strong” tương ứng.

    Mô phỏng mixed-signal IC cũng là một lĩnh vực khá thú vị. Về mặt nguyên tắc thì sản phẩm cuối cùng của việc thiết kế sẽ là một mạch điện gồm các linh kiện cơ bản nhất của mạch tích hợp bao gồm MOS, Bipolar, điện trở, tụ điện, . . . nên hoàn toàn có thể “netlist” và dùng phần mềm SPICE (phần mềm mô phỏng mạch tương tự) để mô phỏng. Nhưng với mật độ tích hợp khoảng một triệu cổng chẳng hạn thì việc áp dụng mô hình mạng hai cửa vào tất cả các “node” theo cách mô phỏng mạch tương tự truyền thống là không thực tế. Để mạch tương tự có thể mô phỏng được theo nguyên lý mô phỏng của mạch số (dựa vào các look-up table) thì cần phải số hóa các mạch tương tự. Đây chính là công việc viết “hehaviour model” cho các mạch tương tự, ở đó các tín hiệu tương tự thông thường được số hóa thành các dãy số thực (vector) và chức năng của mạch tương tự sẽ được mô tả bang một hàm số quan hệ mà có thể biểu diễn được dưới dạng một “look-up table” của dãy số thực vào và ra (vấn đề này nên được bàn tới trong một bài viết riêng).
    Hiện nay, khi thiết kế mạch tương tự với mật độ tích hợp cao người ta cũng dùng cách này để mô phỏng top-level. Tuy nhiên phải đảm báo là các thiết kế lớp “module” đã được kiêm tra kỹ càng vì mô phỏng theo cách này không có khả năng mô phỏng phẩm chất của mạch tương tự mà chỉ kiểm tra tính đúng/sai khi kết nối các module với nhau mà thôi.

    Một cách khác là viết một chương trình cho phép mô phỏng mạch tương tự và mô phỏng mạch số chạy song song. Ví dụ mạch thực hiến chức năng so sánh sẽ được SPICE mô phỏng, sau đó tín hiệu đầu ra sẽ được chuyển sang số đề làm dữ liệu đầu vào cho chương trình Modelsim, đến lượt mình tín hiệu số đầu ra của Modelsim sẽ được chuyển ngược lại thành tín hiệu tương tự dùng cho SPICE. Như vậy không phải tất cả các mạch analog đều được số hóa (behaviour model) mà chỉ các mạch “mixed-signal” “ảo” (đôi khi là các mạch ADC và DAC “thật”) làm nhiệm vũ giao tiếp giữa phần mềm mô phỏng tương tự và phần mêm mô phỏng số sẽ được mô phỏng dưới dạng là các “behaviour model”. Do đó cách này sẽ làm tăng độ tin cậy khi mô phỏng mixed-signal IC.

    Nhiệm vụ chính của thiết kế mixed-signal là giải quyết phần giao tiếp giữa mạch tương tự và mạch số, làm sao để khi nối ghép hai phần này với nhau thì IC sẽ hoạt động như mong muốn.
    + Về phí tương tự thì chủ yếu là nhiễu từ xung “clock” từ mạch số vì nhiễu sẽ có ảnh hưởng rất lớn đến phẩm chất của mạch tương tự. Có ba đường ảnh hưởng chủ yếu, thứ nhất là qua đế vì chúng cùng nằm trên một phiến “silicon”; thứ hai là không khí vì kích thước hình học của IC có thể so sánh được với bước sóng bức xạ từ dao động 0 – 1 từ mạch số, và các lớp “metal” trên cùng sẽ trở thành những “antenna” vô cùng nhạy; cuối cùng là phân bố nguồn cung cấp cho phần mạch tương tự và phần mạch số.

    + Về phía digital thì chủ yếu là phần timing tức là cần đồng bộ tín hiệu tương tự với xung “clock” của mạch số và lọc “glitch” trước khi làm tín hiệu đầu vào cho mạch số.

    Trên đây là những hiểu biết, kinh nhiệm của mình khi thiết kế mixed-signal chủ yếu trong các ứng dụng ở dải tần số thấp, bạn nào nghiên cứu, làm việc trong lĩnh vực thiết kế RF IC thì bổ xung thêm nhé.

    Thân mến!

    Comment


    • #32
      Analysis and Design of Low-dropout Voltage Regulator

      Chủ đề của cuộc thi Analog IC design do trung tâm ICDREC tổ chức năm nay là tối ưu hóa thiết kế mạch LDO. Theo mình, yêu cầu của bài toán đặt ra là các bạn sinh viên cần thể hiện tốt hai vần đề chính sau đây:

      [1] Hiểu được và phân tích được mạch điện đề bài đưa ra, sau đó biết cách mô phỏng để kiểm tra mạch điện có đáp ứng được những yêu cầu cơ bản của một mạch LDO hay không. Một số thông số cơ bản của LDO là điện áp dropout, line regulation, load regulation, và vấn đề ổn định của hệ hôi tiếp âm.

      [2] Sau khi mô phỏng được các thông số cơ bản, mọi người sẽ biết được là cần phải tối ưu phần nào, có thể là nâng hệ số khuyếch đại, bù pha để tăng ổn định với dải rộng của tải hay biến đổi theo nhiệt độ và công nghệ, hoặc nâng cao hệ số PSR, . . .

      Hy vọng có thể giúp được các bạn sinh viên một phần nào đó, mình đã tìm được một tài liệu khá hay về phân tích và thiết kế LDO. Mình cũng đã đọc và thấy có một số chỗ sai và có một số chỗ chưa được trình bày rõ ràng nên mình đã tổng hợp thành tài liệu mang tên "Analysis and Design of LDO Regulator". (file đính kèm ở dưới).

      Tài liệu gồm hai phần chính như sau:

      [1] Phân tích: phần này dùng mô hình tín hiệu nhỏ để phân tích hoạt động của LDO.
      [2] Ví dụ thiết kế PMOS LDO: phần này bao gồm:
      - yêu cầu đầu vào thiết kế và cấu trúc PMOS LDO.
      - tính toán nháp các yêu cầu dựa vào thông số đầu vào (hand calculation)
      - xây dựng mô hình mathlab/simulink cho hệ thống
      - tính toán điểm cực và điểm không
      - kết quả mô phỏng

      Mình đã bỏ đi phần ví dụ thiết kế NMOS LDO vì chưa có thời gian để kiểm định và giữ nguyên phần tài liệu tham khảo. Mình cũng đã tìm được 3 tài liệu tham khảo theo mình đấy cũng là những tài liệu nên đọc khi thiết kế LDO:


      [1] A_Frequency_Compensation_Scheme_for_LDO_Voltage_Re gulator
      [2] A_Low_Voltage_Low_Quiescent_Current_Low_Drop_Out_R egulator
      [3] Full_On_Chip_CMOS_Low_Dropout_Voltage_Regulator
      http://www.docjax.com/ajax/view.shtml?id=1286810

      Tài liệu gốc của bài giảng về LDO của trường "Texas A&M University" , các bạn có thể tìm thấy theo link sau:
      http://amesp02.tamu.edu/~sanchez/607...2012%20LDO.ppt.
      Tài liệu về khóa học ECEN 607 (ESS):
      http://amesp02.tamu.edu/~sanchez/ee689.html

      Chúc các bạn may mắn!

      Thân mến
      Attached Files

      Comment


      • #33
        Nguyên văn bởi hithere123 Xem bài viết
        Mọi người cùng thảo luận về những vấn đề kỹ thuật gặp phải khi design analog IC nhé.
        Hiện mình đang phải improve mạch band-gap mà phải hạn chế số lượng trim bit càng ít càng tốt(số lượng trim bit = 0 là tốt nhất). Process dùng là TSMC 0.35um BCD. Mục đích là để giảm thiểu giá thành khâu testing. Hiện mình chưa hề có ý tưởng gì, có ai có cách gì không? Cám ơn rất nhiều.
        mà bạn dùng công cụ thiết kế của cadence hay mentor hay sympnoy vậy

        cho hỏi thu viện của TSMC hay IBM thì tốt hơn vậy

        mà bây giờ cả 2 hãng nay đều có thu viện chuẩn 45 nm và 32 nm rồi anh em ạ

        Comment


        • #34
          Chào bạn,

          Mình dùng công cụ của hãng Cadence. Theo mình ý của bạn hỏi về thư viện nghiêng về phần thiết kế số nhiều hơn. Khi thiết kế tương tự thì sự phụ thuộc vào công cụ của hãng nào không quan trọng như thiết kế số và chất lượng của model của linh kiện trong công nghệ dùng để thiết kế được đánh giá là tốt nếu sau khi IC về mình test thấy kết quả đúng như những gì mình đã mô phỏng. Mình chưa có thiết kế nào đặt làm fab của IBM nên mình không có đánh giá so sánh giữa thư viện của IBM và TSMC. Tuy nhiện theo kinh nghiệm của mình, với thư viện của TSMC thì khi tổng hợp mạch điện từ code RTL (synthesis) ở các công ty fabless, thư viện các linh kiện nhận từ TSMC thông thường sẽ được đánh giá qua một test-chip (chủ yếu là đánh giá timing, điện áp chịu đựng, nhiệt độ, . . .) trước khi được dùng chính thức. Hoặc TSMC sẽ gửi các data rất cụ thể về những test-chip của TSMC. Nói chung TSMC là một hãng lớn, có uy tín, giá thành dễ chịu và có đội support khách hàng tốt.

          Còn Đối với thiết kế tương tự thì việc kích thước kênh giảm xuống 45nm cùng không mang nhiều ý nghĩa vì khi thiết kế mạch tương tự rất ít khi người thiết kế chọn kích thước mosfet dùng là kích thước tối thiểu. Ví dụ kích thước cho hai mosfet đầu vào của mạch khuyếch đại vi sai dùng công nghệ 0.6um được chọn là W/L = 6um/12um thì để đảm bảo hệ số gm và tối ưu hóa offset, kết quả tính toán của người kỹ sư cho mạch điện tương tự dùng công nghệ 90nm vẫn cho ra kêt quả gần như vậy hoặc ít ra là rất khó có khả năng W/L=90nm/180nm. Điều quan trọng nhất khi lựa chọn công nghệ cho thiết kế analog là giá thành và chất lượng model linh kiện (chất lượng công nghệ tốt--> yield cao), tất nhiên các yêu cầu về điện như điện áp cao nhất cho phép, ESD, . . . đã được thỏa mãn.

          Hy vọng là mình đã trả lời một phần nào đó câu hỏi của bạn.

          Thân mến,

          Comment


          • #35
            Chào các bạn,

            Trước đây mình chỉ có ý định đưa những vấn đề mang tính chất kỹ thuật thuần túy lên topic với mong muốn tạo một diễn đàn để mọi người cùng trao đổi về những vấn đề kỹ thuật, nhưng thời gian qua cho thấy điều đó là không thật sự thích hợp. Có lẽ sẽ tốt hơn nếu đó là những thông tin mang tính chất mở hơn, tất nhiên là gắn liền với Analog IC design và IC Việt Nam nói chung.

            Mình có đọc một số bài báo của EE Times Asia và thấy có một số thông tin rất đáng tham khảo, mình muốn chia sẻ với mọi người:

            [1] Một bài phân tích về vấn đề đầu tư cho các công ty start-up
            - http://www.eetasia.com/ART_880058231...T_cc16156e.HTM

            Vào khoảng đầu những năm 2000, chứng kiến rất nhiều sự ra đời của những công ty fabless về IC design. Nguyên nhân là sự xuất hiện và phát triển ồ ạt của các thiết bị công nghệ dẫn đến nhu cầu của thị trường về chip là rất cao, các con số tăng trưởng của ngành bán dẫn thời điểm đó là rất ấn tượng khiến cho các quỹ đầu tư mạo hiểm bỏ tiền cho các công ty start-up. Ví dụ với khoản đầu tư 6 triệu USD trong vòng 5 năm để công ty lên IPO và phat hành khoảng 5 triệu cổ phiếu với giá ~10USD/cổ phiếu thì giá trị công ty đã là 50 triệu USD. Công ty RICKTEK của Đài Loan là một ví dụ thành công điển hình của các công ty start-up như trên.

            Trong bài báo phân tích ở trên, tác giả có đề cập đến làn sóng dịch chuyển từ Mỹ sang Châu Á trong thời gian vừa qua, đây là một điểm khá thú vị. Liên quan đến vấn đề này có một bài báo nói về IC design ở Trung Quốc cũng rất đáng chú ý:
            - http://www.eetasia.com/ART_880058097...T_85ee0614.HTM

            Chúng ta chưa có thị trường cho IC, và chúng ta cũng chưa có các công ty application mạnh nên rất khó có lý do thuyết phục một nhà đầu tư bỏ ra 6 triệu USD cho một công ty start-up như thế này ở Việt Nam. Ngần như các bộ nguồn cho laptop và nguồn cho máy tính đề bàn hiện nay đều đến từ Đài Loan hoặc Trung Quốc, chỉ riêng cái này thôi cũng đủ để có vài công ty start-up làm về IC nguồn sống được rồi. Vấn đề mấu chốt theo mình ở đây là thị trường, vì giả sử nếu mình có đủ tiền để mở một công ty thì sản phẩm mình sẽ rất khó để cạnh tranh với TI, Linear Tech, Analog Devices, Maxim, . . .

            Để không chỉ dừng lại ở Testing và Assembly với lợi thế sử dụng lao động giá rẻ và chính sách miễn thuế của nhà nước cho các công ty công nghệ cao và để có R&D đúng nghĩa ở Việt Nam chúng ta có thể có hai hướng tiếp cận:

            Một là tạo tiếng vang để các tập đoàn lớn biết kỹ sư Việt Nam cũng có thể thiết kế được IC, sau đó kêu gọi một vài công ty to như ADI (Analog Devices), ST Micro, . . . đầu tư mở R&D ở Việt Nam.

            Hai là chúng ta chủ động xây dựng những công ty điện tử ứng dụng, vì sản phẩm dễ đến với khách hàng hơn là IC, ngay cả nếu làm IC thì vẫn rất cần application để có thể bán được IC. Việt Nam đang phát triển nên một yêu cầu thực tế đặt ra cho các sản phẩm "made in Việt Nam" hiện nay là hàm lượng chất xám cần phải được nâng cao (chất lượng sản phẩm nâng lên dẫn tới với doanh thu và lợi nhuận sẽ tăng). Muốn vậy thì các thiết bị điện tử-tự động hóa hiện đại là cần thiết trong quá trình sản xuất. Khi sản phẩm bán ra thu được lợi nhuận thì việc mua thêm các thiết bị, máy móc hiện đại sẽ là tất yếu. Việt Nam đang là thị trường tiềm năng và sẽ thành miếng bánh to trong tương lai cho các thiết bị máy móc như thế này.
            Hơn nữa, chi phi để thành lập và duy trì một công ty Application chắc chắn sẽ nhỏ hơn rất nhiều so với một công ty fables làm IC. Và chính các công ty Application sẽ là thị trường cho IC Việt Nam.

            Ba là trong lĩnh vực thiết kế IC số thì chúng ta có thể có các công ty chuyên làm về một module nào đó sau đó bán hàng dưới dạng IP. Hoặc cũng có thể làm dưới dạng outsoure, chuyên làm về Verification hoặc Layout. Các công ty này quy mô sẽ nhỏ nhưng đó chính là đầu mối kết nối với các công ty to vì các công ty to đó cũng chính là khách hàng của họ.

            [2] Một vài thông tin về thị trường IC tương tự

            - Phân loại IC tương tự:
            + Về chức năng thì có thể phân ra thành: Amplifiers, Comparators, Data Converters, Interfaces, Power Management, và application specific devices.
            + Về ứng dụng thì có thể phân ra thành: Communications, Consumer, Industrial, Computers, Automotive, Medical.

            - Thị trường IC tương tự:
            Theo tài liệu của Databeans thì Analog IC chiếm ~14% tổng giá trị thị trường bán dẫn trong đó sản phẩm về Power Management chiếm > 50% tổng giá trị thị trường của IC tương tự. Và khu vực Asia Pacific chiếm ~ 45 % thị phần toàn thế giới
            http://www.databeans.net/newsletters...10-January.pdf

            - Các công ty dẫn đầu về bán dẫn (TIER-1 company)
            http://en.wikipedia.org/wiki/Semicon..._for_year_2009

            Thân mến.

            Comment


            • #36
              theo mình thì tools của cadence như vỉttuuoso và asura dacura thì rất mạnh về phần ic tương tự ,còn về thực thi số thì có soc encounter -GDII

              còn mentor thì co calibre xrc ,lv lại cưc tốt vè ic số ,với số lương phần tử là cực nhiều

              vậy bạn có thể cho biết khi thiết kế mạch tương tự khoảng 2 tới 3 triệu trániittott cmos nên dùng thư viện

              TSMC 0.18 hay 0.13 um ,và đặt hàng sản xuất cho số lượng 1 chip là bao nhiêu money và số lượng 1000

              chíp giá bao nhiêu US,phải mang sang tận Taiwan à

              Comment


              • #37
                Chào bạn,

                Đúng là bộ công cụ của Cadence là nhằm vào khách hàng thiết kế IC tương tự, và Mentor Graphics, Synopsys, hay Magma thì nhằm vào khách hàng thiết kế IC số. Vai trò chủ yếu của các công cụ này là sau bước thiết kế về phần điện hoặc logic, vì về mặt nguyên tắc là có thể dùng bất cứ phần mềm vẽ mạch nào để thiết kế mạch điện, điều này cũng tương tự trong thiết kế IC số là có thể dùng bất cứ phần mềm soạn thảo nào để viết code. Điều quan trọng là "biên dịch" mạch điện thành file text đầu vào để các phần mềm mô phỏng có thể hiểu được (netlist). Mô phỏng với tương tự và số thì mình thấy HSPICE và ModelSim là được dùng phổ biến hiện nay.
                Có một điểm khá thú vị là các công ty EDA thường có các bản free cho sinh viên các trường đại học, lý do chủ yếu là trong trường học, nếu các bạn quen dùng phần mềm nào đó rồi thì sau khi ra trường các bạn đi làm cũng sẽ dùng luôn phần mềm đó, tất nhiên đối với các công ty là phiên bản thương mại rồi. Đây quả là một cách làm rất hay và hiệu quả vì vậy bạn nào đang là sinh viên thì có thể tải xuống phiên bản dùng cho sinh viên của các hãng này, sẽ rất tốt nếu sau này các bạn đã quen và thành thạo với các thủ thuật của các phần khác nhau.

                Về việc lựa chọn công nghệ 0.18 hay 0.13 cho mạch tương tự mình có hai ý như sau:
                [1] Quả thực là mình chưa thấy mạch điện tương tự nào cần dùng từ 2 đến 3 triệu transistor. Nếu chức năng mạch tương tự có quy mô như vậy thì người ta phải nghĩ tới mạch số để thay thế rồi. Nếu có thì chác là sensor. Còn về mạch điện tương tự thì một module thiết kế tới 100 transistor đã được coi là môt mạch phức tạp rồi, và giả sử cần dùng 100 module như vậy trong một IC tương tự thì cũng chỉ lên tới 10K transistor.

                [2] Còn việc dùng thư viện nào cho mạch tương tự thì như bài mình đã trả lời bạn trên cùng topic này, bạn có thể xem lại.
                Bổ xung thêm là trong thiết kế IC số, ở bước thiết kế logic (coding) là hoàn toàn độc lập với công nghệ và "thư viện" các phần tử cơ bản trong thiết kế IC số chủ yếu là được thiết kế từ MOSFET. Về mặt nguyên lý hoạt động (logic) là giống nhau với tất cả công nghệ và thường được dùng kích thước hình học nhỏ nhất công nghệ cho phép để layout, từ đó hình thành các thư viện chuẩn cho mỗi công nghệ. Do vậy cùng một thiết kế logic, nếu dùng công nghệ có kích thước nhỏ hơn sẽ làm kích thước của mạch điện nhỏ hơn dẫn đến số lượng chip/wafer tăng làm cho giá thành chế tạo của một chip là "rẻ" hơn. Ví dụ điển hình là mạch nhớ RAM/ROM. Tuy nhiên giá thành chế tạo một wafer dùng công nghệ 0.13um thông thường lớn hơn giá thành chế tạo một wafer dùng công nghệ 0.18um và nếu chênh lệch này vẫn lớn hơn giá trị việc có nhiều chip/wafer ở trên mang lại thì người ta vẫn dùng công nghệ 0.18um thay vì dùng công nghệ 0.13um.
                Trong thiết kế mạch tương tự, ngoài mosfet, thì điện trở, tụ điện, và bipolar transistor cũng được dùng rất nhiều nên việc thiết kế mạch tương tự gắn liền với các thông số điện của công nghệ và thường là rất khó để có các "thư viện" chuẩn cho các mạch điện tương tự như trong thiết kế số. "Giả sử" mọi yếu tố về mặt điện là như nhau thì việc quyết định dùng công nghệ nào lại phụ thuộc vào các mạch số dùng trong IC đó (thiết kế mixed-signal).

                Thông thường các fab sẽ không nhận đặt hàng theo số lượng chip. Theo kinh nghiệm của mình thì ít nhất cũng là một Lot khoảng 12 wafer hoặc dưới dạng multi-project wafer dùng cho các test-chip. Giá thành tùy vào hợp đồng yêu cầu chạy super hot-run (tương đương thời gian chế tạo khoảng 4 tuần) hay chạy bình thường (tương đương thời gian chế tạo khoảng 8 tuần), mình không có con số chính xác. Ví dụ giá sản xuất 300mm wafer, công nghệ 0.18um của TSMC vào khoảng ~1500USD/wafer.

                Việc "phải mang sang tận Taiwan" cũng là bình thường bạn à vì bạn chỉ việc gửi bản GDS của bạn qua internet mà thôi, bạn cũng có thể chế tạo mask ở một nơi và chạy wafer ở một nơi, tùy thuộc vào việc bạn thuê công ty nào làm cho bạn. Về công nghệ chế tạo mình thấy các bài viết của bạn Paddy trong topic "Từ cát đến Chip" rất có giá trị tham khảo, bạn có thể tham khảo thêm ở đó.

                Thân mến.

                Comment


                • #38
                  hi ,hithere123

                  bạn có thể cho biết ở vietnam hiện nay có những công ty thiết kế IC nào ở Hà nội không

                  vì mình chỉ biết công ty Dophin nhưng nó lại nghiêng về thiết kế IC số dùng sản phẩm của mentor là chủ yếu

                  mình có biết có công ty ở việt nam đã chế tạo thành công chip analog cho pin điện thoại thì phải

                  bạn có biết đó là công ty nào không

                  bạn thân mến ,hiẹn tại bạn là sinh viên hay là engineer vậy

                  bạn đang làm cho công ty thiết kế IC analog à,mà bạn có thể cho biết phần mềm nào có thể Test IC không

                  cảm ơn vì sự nhiệt tình giúp đỡ của bạn

                  Comment


                  • #39
                    Chào bạn,

                    Ở Hà Nội, mình có biết một công ty fabless thiết kế IC nguồn, bạn có thể tham khảo ở website dưới đây:
                    http://www.active-semi.com

                    Hiện tại mình là engineer, và công ty mình đang làm có thiết kế analog IC.

                    Bạn hỏi về phần mềm test IC thì IC ở đây là silicon?

                    + Nếu IC là silicon và được package rồi, thì sẽ có board mạch application và dùng nguồn, các thiết bị đo đạc để test như bình thường. Nếu là production test thì sẽ dùng các máy test chuyên dụng để test hàng loạt trước khi giao cho khách hàng. Và mỗi dòng máy sẽ có một tập lệnh cơ bản để bạn có thể điều khiển điện áp, dòng điện cung cấp cũng như đo các thông số đầu ra. Khi đó sẽ có bộ phận test development chịu trách nhiệm thiết kế board mạch, viết chương trình test. Còn nếu IC vẫn ở trên wafer thì cần có board đặc biệt để load vào các máy test chuyên dụng đó.

                    + Nếu IC là mạch điện thì test IC chính là bước mô phỏng mạch điện, phần mềm test thì chính là các phần mềm mô phỏng như HSPICE, Modelsim, . . .

                    Thân mến.

                    Comment


                    • #40
                      ý mình nói là phần mềm dùng test mạch khi mạch ở dạng dạng layout chứa hàng triệu transitor ấy

                      Comment


                      • #41
                        Chào bạn,

                        Tức là IC vẫn chưa tape-out. Để kiểm tra layout thì theo mình có những bước sau:

                        [1] Kỹ sư layout thường chạy DRC (design rule check) để đảm bảo layout đúng theo rule của nhà sản xuất (ví dụ hai đường metal cách nhau tối thiểu là bao nhiêu, . .).
                        [2] Sau đó là chạy LVS (Layout vs. Schematic) để đảm bảo layout và schematic là giống nhau.
                        [3] Khi hai bước kiểm tra trên là clean thì có thể làm bước extraction từ bản layout để có các thông số kỹ sinh. Dữ liệu này sẽ được import cùng với netlist của mạch để tiến hành bước back annotation simulation hay post-layout simulation.

                        Tất cả việc này thì phần mềm vẫn là phần mềm layout và phần mềm mô phỏng thôi.

                        Thân mến.

                        Comment


                        • #42
                          Chopper Stabilized Op-Amp,

                          Chào mọi người,

                          Tuần vừa rồi mình có một sự trao đổi rất thú vị với một thành viên trên diễn đàn về Chopper Stabilized Op-Amp, được sự đồng ý của bạn đó, mình đưa bản thiết kế của bạn đó lên diễn đàn để mọi người cùng thảo luận.

                          Bạn ấy hỏi mình về vai trò của chân NULL trong tài liệu Chopper stabilize Analog Device.pdf. Mình đã giải thích một cách định tính như sau: Nếu chân NULL được thiên áp bằng điện áp Vout của op-amp khi deltaVin = 0 khi switch ở vị trí Z thì op-amp sẽ là free offset khi hoạt động ở vị trí S của switch. Và nếu chân NULL ở op-amp chính được thiên áp bởi điện áp này thì đầu ra cũng là free offset.

                          Bạn ấy có ý tưởng dùng op-amp thông thường (có offset lớn) để thiết kế theo nguyên lý chopper như trong tài liệu Mach Opamp.pdf

                          Theo mình khối A2, có thể bỏ điện trở R1/2/3/4 sau đó nối trực tiếp C2 vào chân IN của U1B thì đầu ra của khối A2 là ~ free offset (offset của U1A và U1B được giảm ~1/GainU1B). Tuy nhiên khối A1 không triệt tiêu được offset của U3A.

                          Hiện mình chưa có thêm ý tưởng để improve mạch bạn ấy gửi, nếu ai có ý tưởng thì cùng trao đổi nhé.

                          Trong thiết kế IC thì kỹ thuật “chopper” được dùng để triệt tiêu dc offset và nhiễu cho các ứng dụng vòng điều khiển dòng với delta Vin ~ 200mV. Bản chất của kỹ thuật chopper là băm tín hiệu vào bởi tần số chopper sau đó khuyếch đại, và người ta thường phân tích mạch này ở miền tần số, chi tiết như trong tài liệu tham khảo mình gửi kèm dưới đây:
                          http://www2.ing.unipi.it/~a008309/ma.../CMA/temes.pdf


                          Thân mến.
                          Attached Files

                          Comment


                          • #43
                            Mạch tạo dao động

                            Chào các bạn,

                            Trở lại với chủ đề thiết kế, ngoài các mạch điện cơ bản mình đã giới thiệu ở các bài trước thì mạch tao dao động cũng là một mạch điện đóng vai trò quan trọng. Với tài liệu đính kèm dưới đây, mình đưa ra sơ đồ khối cho mạch tạo dao động đang được dùng phổ biến hiện nay. (hoàn toàn có thể thiết kế từ các mạch cơ bản đã được đề cập)
                            + Nguyên lý hoạt động của mạch được giải thích bằng biểu đồ thời gian
                            + Công thức gần đúng dùng để tính toán tần số có thể dùng để tính toán giá trị tụ điện và nguồn dòng. Để có tần số chính xác thông thường sẽ có mạch trim dòng điện, tụ điện và điện áp tham chiếu Vref1, Vref2.

                            Bài tới mình sẽ đề cập tới mô phỏng back-annotation vì mạch tạo dao động là một trong những mạch rất cần thiết được mô phỏng với các thông số ký sinh từ bản layout.

                            Thân mến.
                            Attached Files

                            Comment


                            • #44
                              Mô phỏng back-annotation

                              Chào các bạn,

                              Vấn đề mô phỏng back-annotation thường ít được nhắc đến trong quá trình thiết kế IC tương tự so với IC số, một phần là do các thông số ký sinh không gây ảnh hưởng nghiêm trọng tới mạch analog như trong mạch số và một phần khác là mức độ phức tạp của netlist đưa vào phần mềm mô phỏng tăng lên làm cho việc mô phỏng mất rất nhiều thời gian.

                              Độ chính xác của kết quả mô phỏng phụ thuộc rất nhiều vào modeling. Ví dụ netlist của một mạch NOT dùng cho mô phỏng bằng SPICE được mô tả như sau:

                              XMP1 Z A VDD VDD LVPMOS W=10u L=0.6u
                              XMN2 Z A VSS VSS LVNMOS W=5u L=0.6u

                              Và phần mềm SPICE sẽ dựa vào model LVPMOS và LVNMOS để cho ra kết quả mô phỏng, ví dụ:

                              .model LVNMOS nmos level=3 vto=0.7 gamma=0.2 kp=3e-05 lambda=0.02 tox=6e-07
                              .model LVPMOS pmos level=3 vto=-0.7 gamma=0.4 kp=1.5e-05 lambda=0.03 tox=6e-07

                              Model này thông thường do các hãng sản xuất (fab) cung cấp và đã được kiểm tra trên silicon. Vấn đề còn lại là modeling cho mạch điện, tuy nhiên với mạch điện chưa layout thì giá trị thông số điện trở dây nối (net) hoặc tụ điện giữa các net với nhau như thế nào là chưa biết nên mô phỏng ở bước này dựa hoàn toàn vào model nhà sản xuất cung cấp. Sau khi layout xong thì các phần mềm layout có chức năng tự động đo điện trở net và giá trị tụ điện và mạch điện gần đúng với thực tế có thể nhận được có thể được mô tả như sau:

                              XMP1_E Z_1 A_1 VDD VDD LVPMOS W=10u L=0.6u
                              XMN2_E Z_2 A_2 VSS VSS LVNMOS W=5u L=0.6u

                              Re1 Z_1 Z 101.25
                              Re2 Z_2 Z 65.25
                              Re4 A_1 A 0.5
                              Re3 A_2 A 0.25

                              Ce1 Z_1 VDD 7.35E-16
                              Ce2 Z_1 VSS 0.25E-18
                              Ce3 Z_2 VDD 5.65E-18
                              Ce4 Z_2 VSS 4.25E-16

                              Ce1 A_1 VDD 7.5E-16
                              Ce2 A_1 VSS 0.35E-18
                              Ce3 A_2 VDD 0.05E-18
                              Ce4 A_2 VSS 4.95E-16

                              Nếu dùng mạch điện này để mô phỏng thì kết quả mô phỏng sẽ có độ chính xác cao hơn và mô phỏng này được gọi là mô phỏng back-annotation.

                              Tuy nhiên độ phức tạp của mạch đã lớn hơn rất nhiều lần (2 node thành 6 node) vì vậy mô phỏng dạng này sẽ tùy vào quyết định của người kỹ sư, giả sử với mạch không quan trọng thì có thể bỏ qua, hoặc chỉ mô phỏng với tụ điện ký sinh thôi ( tụ từ net tới nguồn hoặc tụ điện giữa các net với nhau_công cụ layout có các chọn lựa khi tiến hành extraction) ví dụ trong mạch OSC ở bài trước thì nếu trong IC dùng tần số để làm bộ định thời (timer) thì độ chính xác của tần số dao động cần được mô phỏng rất cẩn thận và mô phỏng back-annotation là cần thiết.

                              Với thiết kế IC số thì bước kiểm tra timing là rất quan trọng và bước này đã được chuẩn hóa. Thông thường công cụ layout sẽ cho ra một file .spef (standard parasitic exchange format) sau đó công cụ STA (Static Timing Analysis) sẽ đọc file .spef này và cho ra file .sdf (standard delay format). File .sdf chính là kết quả delay tính toán được từ dữ liệu trong file .spef và sẽ được dùng trong mô phỏng back-annotation hay còn gọi là post-layout simulaiton.

                              Trên đây là một số hiểu biết của mình về mô phỏng back-annotation trong thiết kế analog.

                              Thân mến.

                              Comment


                              • #45
                                Chào các bạn,

                                Mình tạo ra luồng thảo luận này với kỳ vọng ban đầu là tạo một kết nối với những kỹ sư làm về ngành này, sau đó là trao đổi và chia sẻ kiến thức về các vấn đề kỹ thuật trong thiết kế IC tương tự. Tuy nhiên do hạn chế về khả năng gợi mở vấn đề nên kết quả đã không như mong đợi. Nhưng một điều khác đã đến, thông qua diễn đàn mình đã nhận được e-mail từ các bạn sinh viên và một số bạn kỹ sư làm về lĩnh vực này trong đó đề nghị mình chia sẻ những kinh nghiệm thực tế. Mình thấy những câu hỏi các bạn gửi qua e-mail cho mình là những câu hỏi rất “kinh điển” và với mong muốn tạo ra một kết nối rộng hơn nên mình nghĩ một bài tổng kết ngắn có thể sẽ giúp ích cho nhiều người hơn.

                                Lĩnh vực này khá mới ở Việt Nam và các chương trình đào tạo trong trường đại học vẫn đang được hoàn thiện (thiết lập lab) nên các bạn sinh viên vẫn chưa hình dung được nhiều lắm về công việc thực sự của một người kỹ sau này là thế nào, dẫn đến mất phương hướng và không nhìn thấy học để có thể làm dc gì sau khi ra trường.

                                Một lý do khác là không có nhiều công ty làm về lĩnh vực này ở Việt Nam nên các bạn không biết được nhu cầu thực sự của thị trường như thế nào cũng như trình độ của Việt Nam đã làm được những gì, và lương trung bình của một kỹ sư là bao nhiêu.

                                Với kinh nghiệm của bản thân, mình mạnh dạn đưa ra những nhận định như sau:

                                [1] Không ở đâu mà trong trường đại học dạy cụ thể công việc của người kỹ sư phải làm sau khi ra trường. Sẽ tốt hơn nếu các bạn sinh viên có cơ hội thiết kế một mạch Op-amp trong phòng lab của trường đại học (nếu chỉ dừng lại ở thiết kế mạch và chạy mô phỏng thì không có gì là quá sức với điều kiện các trường đại học ở Việt Nam hiện nay) như thế các bạn sinh viên sẽ dễ hình dung hơn.

                                [2] Với IC tương tự thì không có mạch nào được coi là đã hoàn hảo rồi nên hầu như phải cải tiến mạch liên tục. Tức là, cấu trúc mạch thường là đã có sẵn rồi (thậm chí có những cấu trúc thiết kế từ những năm 80 đến nay vẫn được dùng) và công việc cụ thể của người kỹ sư tương tự có khi cả đời chỉ làm một dòng sản phẩm. Bắt đầu thì có thể thiết kế các mạch đơn giản nhất như comparator, . . khi các thiết kế đã được chứng minh trên silicon là chạy tốt thì có thể đảm nhận thiết kế các mạch phức tạp hơn. Nếu gặp vấn đề khó giải quyết như noise chẳng hạn thì cần phải nghiên cứu các biện pháp để cải tiến mạch (ví dụ như tìm đọc các tài liệu chuyên ngành như một số tài liệu mình đã đưa lên diễn đàn), nói chung cũng mệt mỏi lắm nhưng khi làm được thì rất thú vị. Tất cả những kỹ năng này sẽ được tích lũy theo thời gian. Khi có nhiều kinh nghiệm rồi thì sẽ tiến tới thiết kế hệ thống ( hay gọi là thiết kế concept ) hoặc phát minh những mạch mới có tính năng nổi trội. . . .

                                Và để được nhận vào làm việc thì các bạn sinh viên rất cần có kiến thức về phân tích mạch điện tử, biết cấu tạo và nguyên tắc hoạt động của các phần tử cơ bản dùng để thiết kế (ví dụ CMOS, điện trở poly, tụ điện poly-poly. . .) Dưới đây là một số tài liệu tham khảo theo mình là hữu ích.

                                + Có thể coi cuốn Analysis and Design of Analog Integrated Circuits (Fourth Edition) của nhóm tác giả Paul R.GRAY, Paul J.HURST, Stephen H.LEWIS và Robert G.MEYER là cuốn sách giáo khoa về analog IC design. Bản pdf có thể tìm trên mạng (rapidshare, mega-upload, . . .), vì lý do bản quyền nên mình không dẫn đường link.

                                + Hai khóa học kinh điển kèm video (free) của trường Berkeley:

                                EE140 Linear Integrated Circuits
                                Webcast : http://webcast.berkeley.edu/course_d...sid=1906978189
                                Notes : http://bwrc.eecs.berkeley.edu/classe...0/lectures.htm
                                SPICE sample : http://bwrc.eecs.berkeley.edu/classe...2004/notes.htm

                                EE240 Advanced Analog Integrated Circuits
                                Webcast : http://webcast.berkeley.edu/course_d...sid=1906978506
                                Notes : http://bwrc.eecs.berkeley.edu/classe.../lectures.html

                                + Bài giảng CMOS Analog Integrated Circuit Design của Dr.Phillip E. Allen
                                http://www.aicdesign.org/scnotes06.html

                                [3] Về trình độ của Việt Nam thì mình không có câu trả lời nhưng với sự hiểu biết của mình thì có thể khẳng định trình độ kỹ thuật của kỹ sư Việt Nam (nói được tiếng Việt) không có sự khác biệt so với các kỹ sư trên thế giới. Có rất nhiều người làm trong những hãng bán dẫn lớn (top 20) và làm trong rất nhiều lĩnh vực như design, layout, test development, marketing, sale, . . . trong đó phần lớn là ở Mỹ. Tuy nhiên ở Việt Nam thì mình biết các kỹ sư Việt Nam đã làm được design, layout và test hoàn chỉnh một số IC analog (Power IC) như LDO, BUCK, BOOST, CHARGER, . . . ứng dụng cho các thiết bị điện tử cầm tay và sản phẩm họ làm ra đã được bán ở ngoài thị trường, như vậy là cũng khá hoàn chỉnh rồi. Các khâu define sản phẩm, marketing và sale thì “chắc là” vẫn chưa thành công. Cũng có một số công ty mở văn phòng ở Việt Nam làm IP (video coding), Layout. Tóm lại, theo mình thì Việt Nam đã bước những bước đầu tiên nhưng vẫn thiếu những người quản lý có tầm và có tâm để định hướng phát triển và tìm đầu ra cho sản phẩm. Rất buồn là vẫn phải dùng đến từ “tiềm năng” để nói về ngành này ở Việt Nam.

                                [4] Về vấn đề lương, theo mình được biết mức lương trung bình của một kỹ sư làm R&D trên thế giới vào khoảng từ $65K-$125K/năm tùy vào số năm kinh nghiệm (< 7 năm) và khu vực Mỹ hay Châu Âu. Ở Châu Á thường thấp hơn ví dụ gần Việt Nam nhất là Trung Quốc thì mức lương vào khoảng $15K-$30K/năm.

                                Hy vọng những thông tin trên là có ích và trong thời gian tới sẽ có thêm nhiều bạn nữa tham gia thảo luận!

                                Rất mong.
                                Last edited by hithere123; 20-03-2010, 14:10.

                                Comment

                                Về tác giả

                                Collapse

                                hithere123 Tìm hiểu thêm về hithere123

                                Bài viết mới nhất

                                Collapse

                                Đang tải...
                                X