Code:
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity Demo_MUL is port( a,b : in signed(4 downto 0); s : out signed(9 downto 0) ); end Demo_MUL; architecture Behavioral of Demo_MUL is begin s <= a * b; end Behavioral;
Không hiểu sao ISE 10.1 của em nó cứ dịch cái này ra 1 bộ nhân có dấu với một đầu vào là a và 1 đầu là mở, không có gì cả. Nếu thay đổi vị trí a, b thì lại đến lượt a bị bỏ mất.
Tức là thiết kế này luôn tạo ra bộ nhân với 1 đầu vào là toán hạng đầu tiên. Không hiểu là lỗi gì? Không biết có phải em lú lẫn ko???
Các bác giúp em phát


. Thấy nó phức tạp vậy chắc dành cho pro, còn em là dân IT nên khoái trực quan như waveform hơn. Với lại các công cụ em xài từ trước giờ như Max+Plus, ISE hay ModelSim đều hỗ trợ mô phỏng bằng waveform hết mà.

Comment